Al2O3

Jul 26, 2016 - ... using an aqueous NH4OH solution before depositing the gate oxide with .... Table 2 shows a list of the Nint,tot and Nox,bulk values...
2 downloads 0 Views 3MB Size
Research Article www.acsami.org

Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices M. A. Negara, M. Kitano, R. D. Long, and P. C. McIntyre* Department of Materials Science and Engineering, Stanford University, Stanford, California 94305, United States ABSTRACT: Nitrogen incorporation to produce negative fixed charge in Al2O3 gate insulator layers is investigated as a path to achieve enhancement mode GaN device operation. A uniform distribution of nitrogen across the resulting AlOxNy films is obtained using N2 plasma enhanced atomic layer deposition (ALD). The flat band voltage (Vfb) increases to a significantly more positive value with increasing nitrogen concentration. Insertion of a 2 nm thick Al2O3 interlayer greatly decreases the trap density of the insulator/GaN interface, and reduces the voltage hysteresis and frequency dispersion of gate capacitance compared to single-layer AlOxNy gate insulators in GaN MOSCAPs.

KEYWORDS: GaN, atomic layer deposition, metal oxide semiconductor, interface trap density, nitrogen incorporation, negative fixed charge





INTRODUCTION High-k gate insulators are desirable for maintaining high gate capacitance and low gate leakage current in scaled transistors. For this reason, high-k gate dielectrics have been investigated as a means of producing high performance field effect devices on GaN-based substrates for low static power consumption, improved transconductance, and higher output power capabilities.1−4 HEMTs fabricated with this type of dielectric are referred to as metal-oxide-semiconductor high electron mobility transistors (MOSHEMT). Until recently, depletion mode (Dmode) HEMT devices have been widely studied as a result of the naturally formed 2-dimensional electron gas (2DEG) at the AlGaN/GaN interface for Ga face c-plane epitaxial GaN wafers. However, enhancement mode (E-mode) devices have become the focus of recent research fueled by potential power switching and high frequency applications. E-mode devices make possible simpler power amplifier circuits by using a single polarity voltage supply, and this also leads to lower cost and an improvement of system reliability. For high power switching applications in particular, increased safety can be achieved by using a normally off device. To realize normally off operation of GaN transistors, several approaches have been reported including recessed gate structures,1 p-type gate injection,2 fluorine/hydrogen plasma treatment,3 surface channel GaN4 and oxide charge engineering.5 In this work, we present a novel investigation of the use of nitrogen alloying of aluminum oxide gate insulators to modify the flat band voltage (Vfb) of GaN based devices. As reported theoretically in ref 6, nitrogen may incorporate on either cation or anion sites or on interstitial sites and thus become a source of negative fixed charge within Al2O3. The effectiveness of this approach for oxide charge modification of ALD-grown Al2O3 will be presented in detail. © 2016 American Chemical Society

EXPERIMENTAL SECTION

A GaN MOSCAP structure has been used to study the incorporation of nitrogen species into Al2O3 using N2 plasma exposure during plasma enhanced atomic layer deposition (PEALD) film growth. Schematics of the structure examined in this work and the interior of the ALD chamber are included in Figure 1. Sample positions on a quartz sample holder in the ALD chamber are labeled as 1, 2, and 3, with the numbering based on the distance to the remote plasma source. Position 1 is the closest position, located within 25 cm of the tube exit from the remote plasma source. The surface of a bulk GaN (0001) wafer was cleaned using an aqueous NH4OH solution before depositing the gate oxide with ALD. As reported in ref 7., the combination of reduced carbon contamination while maintaining a

Figure 1. (a) Schematic of GaN MOSCAP structure and (b) sample holder position and interior of the ALD chamber. Received: May 20, 2016 Accepted: July 26, 2016 Published: July 26, 2016 21089

DOI: 10.1021/acsami.6b03862 ACS Appl. Mater. Interfaces 2016, 8, 21089−21094

Research Article

ACS Applied Materials & Interfaces Ga2O3 surface layer on the wafer by NH4OH(aq) solution cleaning prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate. Three different oxide thicknesses (tox= 6.0 ± 0.14, 13.5 ± 0.14, and 20.4 ± 0.14 nm) of Al2O3 dielectric were deposited on the bulk GaN at 270 °C ALD temperature and 0.185 Torr ALD pressure as reference samples using trimethylaluminum (TMA) and H2O precursors. For each precursor line, a gas flow rate of 3 sccm of 3 s duration was used in each precursor pulse, followed by 60 s of N2 purge. The precursor delivery was performed using a Fujikin Flow Control System on each line. The TMA and H2O bubbler temperatures were 100 and 50 °C, respectively. A continuous flow of 75 sccm N2 gas was maintained through the ALD chamber as a diluent, and consists of 50 sccm flowing through the plasma source, and 12.5 sccm each flowing through the TMA and H2O lines. During PEALD of AlOxNy, the N2 plasma was created using a 1.5 kW inductively couple plasma source. Aluminum oxynitride films of 5.0 ± 0.15, 9.0 ± 0.15 and 16.5 ± 0.14 nm thickness were grown by inserting an additional 50 sccm N2 plasma pulse of 10 s duration after each TMA/H2O ALD cycle. The film thicknesses were measured using a Gaertner ellipsometer, calibrated by cross-sectional TEM. All the processing variables for PEALD are similar to the ALD process of Al2O3 mentioned above. No substrate bias was used during the PEALD process. X-ray photoelectron spectroscopy (XPS) using a PHI 5000 VersaProbe (ULVAC-PHI) spectrometer with Al(Kα) radiation (1486 eV) was employed to examine the chemical composition, depth profile and stoichiometry of Al2O3 and AlOxNy films. The depth profile was performed by sputtering the materials using Ar+ ions accelerated at 2 keV with a beam current of 2 μA. All of the XPS spectra were energy-calibrated using the adventitious surface C 1s peak at 284.8 eV. Peak fitting of the resulting spectra was carried out using Multipak software. Optical emission spectroscopy (OES) was performed with an Ocean Optics S2000 spectrometer. Following dielectric deposition, shadow mask-defined Pd top electrodes of 75 nm thickness and 20 nm Ti/100 nm Al back contacts were deposited by e-beam evaporation. Postgate forming gas (5% H2, 95% N2) anneals were performed at 400 °C for 15 min. Capacitance−voltage (C−V) measurements were carried out in a shielded probe station using a HP4284A precision LCR meter.

Figure 2. Optical emission spectra measured within the PEALD chamber during the N2 plasma cycle.

Table 1. Comparison of the XPS-Measured Average N Concentrations to the Vfb Extracted from 1 MHz C−V Measurements of As-Deposited PEALD-AlOxNy/Native SiO2/p-Si MOSCAPs and ALD-Al2O3/Native SiO2/p-Si MOSCAPs, Used as a Reference no.

sample position

N concentration [%]

1 2 3 4

1 2 3 reference

6.2 ± 0.2 5.1 ± 0.2 3.8 ± 0.1 0

Vfb [V] 0.80 0.60 0.50 0.40

± ± ± ±

0.03 0.02 0.02 0.01



RESULTS AND DISCUSSION In a prior report, nitrogen plasma was combined with trimethylaluminum dosing as a means of surface preparation of GaN substrates prior to the start of gate dielectric deposition.8 In contrast, in the current experiments, nitrogen is incorporated in the bulk of the Al2O3 dielectric using an inductively coupled remote plasma to dissociate N2 gas producing activated nitrogen species after each cycle of TMA/H2O ALD. Optical emission spectroscopy (OES) measurements were performed to detect the existence of N2 plasma products within the PEALD chamber during each N2 plasma cycle, as shown in Figure 2. The emission peaks of N+ and N2+ were detected from the OES spectra and are in agreement with the peaks reported in ref 9. To investigate the correlation between the nitrogen concentration incorporated in the gate insulators and the flat band voltage (Vfb ) of the resulting MOSCAPs, X-ray photoelectron spectroscopy (XPS) and capacitance−voltage (C−V) analysis at room temperature were performed, respectively, and representative data are compiled in Table 1 and Figure 3. A uniform N content throughout the oxide thickness can be seen in Figure 3 for a PEALD-grown 5 nm thick AlOxNy/native SiO2/p-Si sample deposited in deposition position 1 of the ALD reactor. Because of the overlapping of N 1s core level features of AlOxNy and bulk GaN substrates, AlOxNy films on Si substrates were prepared for the nitrogen composition analysis under identical conditions to those used

Figure 3. XPS Depth profile of 5 nm AlOxNy/SiO2/Si MOSCAPs.

for electrical measurements on GaN. As presented in Table 1, the largest nitrogen concentrations are measured in samples deposited in position 1 of Figure 1. Therefore, position 1 was chosen for the more detailed investigations reported in the remainder of this paper. An increasing trend of Vfb on silicon substrates and the nitrogen concentration in the bulk of the AlOxNy film is observed for these N2 plasma treated samples. Figure 4 shows the XPS Al 2p, O 1s and N 1s spectra collected from a 18 nm thick AlOxNy film deposited on a native SiO2/p-type Si substrate. The atomic concentration ratio of Al:O:N calculated from the area under the XPS core level features is 4:7:1. The Al 2p electron binding energy of Al−N bonds is very similar to that of Al−O at 74.6 eV. The 531.1 eV peak in the O 1s spectrum is assigned to O−Al bonds based on data in ref 10. Three components are detected in the N 1s 21090

DOI: 10.1021/acsami.6b03862 ACS Appl. Mater. Interfaces 2016, 8, 21089−21094

Research Article

ACS Applied Materials & Interfaces

Figure 4. XPS spectra of (a) Al 2p and (b) O 1s and (c) N 1s of 18 nm AlOxNy on SiO2/p-typeSi.

Figure 5. (a) Log−log plot of leakage current density vs E and (b) plot of ln(J/E2) vs 1/E of a Pd/20.4 nm Al2O3/GaN MOSCAP and a Pd/16.8 nm Al4O7N/GaN MOSCAP.

spectrum and have been identified as N−Al bonds at 397.4 eV, N+ oxidation state at 399 eV, and N2+ oxidation state at 402 eV with atomic concentrations of 5.76%, 0.86%, and 0.26% respectively. These BE values are in agreement with the peaks reported in ref 10. The C−V and XPS results above indicate that nitrogen can be incorporated into Al2O3 to form a nominal Al4O7N composition using TMA, H2O and N2 plasma ALD sequences. The influence of N2 plasma treatment on the electrical characteristics of Pd/Al4O7N/GaN MOSCAPs is investigated next. Figure 5a shows a log−log plot of leakage current density (J) versus E-field at room temperature for Pd/20.4 nm Al2O3/GaN and Pd/16.8 nm Al4O7N/GaN MOSCAPs. Two different mechanisms of tunneling-limited conduction can be seen in this figure. The linearity of the ln(J/E2) versus 1/E plot in Figure 5b is consistent with Fowler−Nordheim tunneling at high fields and direct tunneling at low fields. Similar current transport mechanisms and leakage current density of ∼2.4 × 10−8 A/cm2 at E = 1.5 MV/cm were measured from both MOSCAPs, indicating little effect of the plasma treatment on charge leakage across the dielectrics. The low leakage current densities measured are consistent with prior literature for AlOxNy dielectrics on a silicon substrate.11 Figure 6 shows the Vfb versus oxide thickness (tox) trends for various Pd/Al4O7N/GaN and Pd/Al2O3/GaN MOSCAPs, with and without post gate electrode forming gas annealing. FGA was performed to enhance the electrical characteristics of Pd/ Al4O7N/GaN and Pd/Al2O3/GaN MOSCAPs. FGA has been

Figure 6. Vfb vs insulator thickness of Pd/Al4O7N/GaN and Pd/ Al2O3/GaN MOSCAPs with and without forming gas anneal. Solid lines are simulated using the Vfb model in eq 1.

effective in improving C−-V characteristics of Al2O3/III−V MOS devices−such as decreasing frequency dispersion in depletion and accumulation, and decreasing C−V stretchout.12−14 Vfb values were extracted from 1 MHz C−V measurements at room temperature. The predictions of the Vfb model in eq 1 for each sample are also included in Figure 6.15 21091

DOI: 10.1021/acsami.6b03862 ACS Appl. Mater. Interfaces 2016, 8, 21089−21094

Research Article

ACS Applied Materials & Interfaces

Table 2. Comparison of Nint,tot and Nox,bulk of Pd/Al4O7N/GaN and Pd/Al2O3/GaN MOSCAPs with and without Forming Gas Anneal no. 1 2 3 4

sample description

Nint,tot [cm−2]

Al2O3 Al2O3 + FGA Al4O7N Al4O7N + FGA

2.91 × 10 ± 2.0 × 10 9.0 × 1011 ± 1.8 × 1011 −3.0 × 1011 ± 2 × 1010 −3.0 × 1011 ± 1 × 1010 12

Nox,bulk [cm−3] 11

0 0 −3.32 × 1018 ± 2.9 × 1017 −6.50 × 1018 ± 2.4 × 1017

Figure 7. (a) C−V hysteresis at 1 MHz of 5 nm Al4O7N/GaN MOSCAP after FGA and (b) C−V hysteresis and frequency dispersion of Pd/Al2O3/ GaN and Pd/Al4O7N/GaN MOSCAPs. C−V data measured at room temperature. The frequency dispersion in panel b was measured between 1 kHz and 1 MHz in depletion.

VFB = Wms −

qtox qt 2 Nint,tot − ox Nox,bulk ε0εox 2ε0εox

that the nitrogen is partially inactive as an oxide charge center within Al4O7N, and that the FGA helps activate it. Negative bulk oxide charge, Nox,bulk = −6.5 × 1018 cm−3, after FGA is indicated by using eq 1 to fit the data in Figure 6 for Pd/Al4O7N/GaN MOSCAPs. Charges incorporated in the dielectric stack strongly shift the Vfb of Al4O7N capacitors toward positive values compared to Al2O3 capacitors, with ΔVfb ≈ + 2.5 V for films with physical thicknesses of 15−20 nm. Inspection of Table 2 indicates that the positive shift is consistent with the combined effects of changes in Nint,tot and incorporation of nitrogen in the bulk of the dielectric layer to produce negative bulk oxide charge, as theoretically predicted.6 Figure 7a shows C−V hysteresis measured at 1 MHz from a Pd/6 nm Al4O7N/GaN MOSCAP after FGA. The influence of FGA on C−V hysteresis and frequency dispersion characteristics of both Pd/Al2O3/GaN and Pd/Al4O7N/GaN samples is summarized in Figure 7b. Significant C−V hysteresis (ΔV = 0.16 ± 0.007 V) and frequency dispersion in depletion (Vg ≈ 0.65 ± 0.014 V) are observed for the Pd/Al4O7N/GaN MOSCAP, suggesting possible plasma-induced damage of the insulator/semiconductor interface. C−V hysteresis and frequency dispersion are negligible for Pd/Al2O3/GaN MOSCAPS reference samples, especially after FGA. A substantial improvement in C−V hysteresis and frequency dispersion characteristics of a Pd/6 nm Al4O7N/GaN MOSCAP sample after FGA treatment can also be seen in Figure 7b. FGA improves the hysteresis and frequency dispersion of Pd/Al4O7N/GaN MOSCAPs, but they are still higher than the case of Pd/Al2O3/GaN, possibly due to plasma-induced damage. As shown in Figure 2, the remote nitrogen inductively coupled plasma contains ions that may damage the sample. In order to avoid this effect, 2 nm of ALD-Al2O3 was deposited on the GaN substrate immediately prior to depositing the Al4O7N layer using N2 PEALD. There was no vacuum break between these two depositions. Figure 8a shows greatly suppressed C−V

(1)

where ϕb is barrier height between the metal and oxide, ΔEC is the band offset between the oxide and semiconductor, Φf is the bulk Fermi potential Nint,tot is the total fixed charge density (per unit area) at the oxide/semiconductor interface, and Nox,bulk is the bulk oxide charge density (per unit volume) within the insulator. As illustrated in Figure 6, Vfb data obtained from the Pd/ Al2O3/GaN MOSCAPs can be fit as a linear function of tox, implying a negligible contribution of the Nox,bulk component to Vfb. A negative trend for Vfb with increasing physical thickness in Figure 6 implies overall positive fixed charge in the gate stack and a positive trend implies the opposite. Error bars in Figure 6 represent the estimated uncertainties of the ellipsometric thicknesses of the dielectric layers and the measured flat band voltages. Table 2 shows a list of the Nint,tot and Nox,bulk values for the Pd/Al4O7N/GaN and Pd/Al2O3/GaN MOSCAPs with and without forming gas anneal, obtained by fitting the data in Figure 6 using eq 1. As listed in Table 2, Nint,tot = 2.9 × 1012 cm−2 and −3 × 1011 cm−2 were extracted for the as-deposited Pd/Al2O3/GaN and Pd/Al4O7N/GaN, respectively. The main effect of the N2 plasma process appears to be to modify the charges in the oxide and at the interface, shifting the flat band voltage to a more negative value than is typical of as-deposited Al2O3/GaN. After FGA, a small change in Vfb is observed for MOSCAPs with Al2O3 gate insulator, consistent with a net reduction of positive interface fixed charge.12−14 For Pd/Al4O7N/GaN MOSCAPs before and after FGA, the Vfb trend can be fit reasonably well by a parabolic thickness dependence, tox2, indicating a significant contribution of the bulk fixed charge, Nox,bulk, from the incorporated nitrogen. These results suggest 21092

DOI: 10.1021/acsami.6b03862 ACS Appl. Mater. Interfaces 2016, 8, 21089−21094

Research Article

ACS Applied Materials & Interfaces

Figure 8. (a) C−V at 1 kHz−1 MHz and influence of FGA on C−V hysteresis and frequency dispersion in depletion (inset) of Pd/5 nm Al4O7N/2 nm Al2O3/GaN. (b) Vfb versus Al4O7N physical thickness after FGA of Pd/Al4O7N/GaN and Pd/Al4O7N/Al2O3/GaN MOSCAPs.

hysteresis and frequency dispersion when the Al2O3 layer is interposed between the Al4O7N dielectric film and GaN substrate. After FGA [Figure 8b], there is no change of the Vfb shift of Al4O7N MOSCAPs with or without the 2 nm Al2O3 interlayer, indicating a similar negative oxide charge contribution for these oxynitride dielectric samples. Figure 9 presents the influence of FGA on the interface state density (Dit) profiles derived from C−V measurements

dielectric, we note that the threshold voltage of an AlGaN/GaN MOSHEMT and the flat band voltage of a bulk GaN MOS capacitor, given by eq 1, will exhibit similar dependencies on both Nox,bulk, and the total interface charge areal density, Nint,tot. The value of Nint,tot will, however, differ between these two device structures if the gate dielectric is deposited directly on AlGaN for the MOSHEMT structure (e.g., rather than on a thin GaN buffer layer). Even if the insulator/semiconductor interfaces are structurally identical for MOSCAP and MOSHEMT, Nint,tot will differ because of the different energies of the quasi Fermi level at the insulator/semiconductor interface relative to the conduction band edge of the semiconductor for these two device structures. This produces a different interface trapped charge, Qit, contribution to Nint,tot for a MOSHEMT at the threshold voltage compared to a capacitor at flat band with an otherwise identical dielectric/ semiconductor interface. The sign of Qit for the Al2O3/AlGaN interface in a MOSHEMT has been reported to be negative,15 suggesting that Nint,tot values for the Al4O7N/Al2O3 dielectric stacks investigated in this work are likely to be more negative for MOSHEMTs than for corresponding MOSCAPs. The main influence in achieving E-mode behavior in AlGaN/ GaN MOSHEMTs incorporating the PEALD-grown Al4O7N dielectric will, however, be Nox,bulk, which produces a positive shift in Vth that scales as tox2. This is the strongest scaling with dielectric thickness of all the charge contributions to Vth.15 The results obtained herein point to a path that can achieve the required Vth shift of ∼6 V or more15 relative to a device with a single-layer Al2O3 dielectric by depositing the oxynitride layer so that it reaches a tox consistent with Vth > 0 for the MOSHEMT.

Figure 9. Dit profile measured at 200 °C of several Pd/Al2O3/GaN, Pd/Al4O7N/GaN, and Pd/Al4O7N/Al2O3/GaN MOSCAPs at various annealing condition.

performed at 200 °C on several Pd/Al2O3/GaN, Pd/Al4O7N/ GaN, and Pd/Al4O7N/Al2O3/GaN MOSCAPs. C−V measurements were performed at high temperature in order to maximize the range of energies over which interface states can be measured in the capacitors.13 The Dit profiles were extracted using the full interface-state model reported in ref 16. The model applies a Δ circuit of three complex elements representing trapping of both minority and majority carriers at interface traps and generation-recombination of electron−hole pairs at the interface to fit multifrequency C−V and G−V data. Figure 9 shows that the Dit drops significantly from a peak value of 2 × 1013 to 2 × 1012 cm−2 eV−1 at an energy ∼0.5 eV below the GaN conduction band edge when FGA is combined with an added 2 nm Al2O3 interface layer. Regarding the implications of the large negative bulk fixed charge achieved by nitrogen incorporation in the ALD-Al2O3



CONCLUSIONS

Nitrogen species have been incorporated by application of plasma N2 pulses during Al2O3 ALD film growth, to provide a source of negative oxide charge in the dielectric. Adding 2 nm of ALD-Al2O3 as an interlayer between Al4O7N gate insulators and the GaN substrate reduces Dit, the C−V hysteresis related to slow bulk traps, and the C−V frequency dispersion in depletion of Al4O7N MOSCAPs. Vfb can be shifted by increasing the activation of nitrogen as a bulk negative oxide charge center using postgate forming gas anneals. The large positive shift of Vfb observed in this work provides a pathway to 21093

DOI: 10.1021/acsami.6b03862 ACS Appl. Mater. Interfaces 2016, 8, 21089−21094

Research Article

ACS Applied Materials & Interfaces

Surface-Channel MOSFETs With an ALD Gate Dielectric. IEEE Trans. Electron Devices 2012, 59 (4), 1084. (15) Zhang, Y.; Sun, M.; Joglekar, S. J.; Fujishima, T.; Palacios, T. Threshold Voltage Control by Gate Oxide Thickness in Fluorinated GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors. Appl. Phys. Lett. 2013, 103, 033524. (16) Chen, H. P.; Yuan, Y.; Yu, B.; Ahn, J.; McIntyre, P. C.; Asbeck, P. M.; Rodwell, M. J. W.; Taur, Y. Interface-State Modeling of Al2O3―InGaAs MOS From Depletion to Inversion. IEEE Trans. Electron Devices 2012, 59 (9), 2383.

preparation of enhancement mode GaN-based MOSHEMT devices with low trap densities.



AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]. Funding

This work was supported by the DEFINE ONR MURI Project No. N0012-10-1-0937 and the Semiconductor Research Corporation GRC TASK No. 2393.001. Notes

The authors declare no competing financial interest.



REFERENCES

(1) Lanford, W. B.; Tanaka, T.; Otoki, Y.; Adesida, I. Recessed-Gate Enhancement-Mode GaN HEMT with High Threshold Voltage. Electron. Lett. 2005, 41, 449−450. (2) Uemoto, Y.; Hikita, M.; Ueno, H.; Matsuo, H.; Ishida, H.; Yanagihara, M.; Ueda, T.; Tanaka, T.; Ueda, D. Gate Injection Transistor (GIT)A Normally-Off AlGaN/GaN Power Transistor Using Conductivity Modulation. IEEE Trans. Electron Devices 2007, 54, 3393−3399. (3) Cai, Y.; Zhou, Y.; Chen, K. J.; Lau, K. M. High-Performance Enhancement-Mode AlGaN/GaN HEMTs Using Fluoride-Based Plasma Treatment. IEEE Electron Device Lett. 2005, 26, 435−437. (4) Huang, W.; Khan, T.; Chow, T. P. Enhancement-Mode NChannel GaN MOSFETs on P and N-GaN/Sapphire Substrates. IEEE Electron Device Lett. 2006, 27, 796−798. (5) Lu, B.; Palacios, T. New Enhancement Mode GaN HEMT Based on Dipole Engineering. Proc. Int. Workshop Nitride Semicond. Abstr. 2008, 536. (6) Choi, M.; Lyons, J. L.; Janotti, A.; Van de Walle, C. G. Impact of Carbon and Nitrogen Impurities in High-κ Dielectrics on MetalOxide-Semiconductor Devices. Appl. Phys. Lett. 2013, 102, 142902. (7) Zhernokletov, D. M.; Negara, M. A.; Long, R. D.; Aloni, S.; Nordlund, D.; McIntyre, P. C. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition. ACS Appl. Mater. Interfaces 2015, 7, 12774−12780. (8) Son, J.; Chobpattana, V.; McSkimming, B. M.; Stemmer, S. InSitu Nitrogen Plasma Passivation of Al2O3/GaN Interface States. J. Vac. Sci. Technol., A 2015, 33, 020602. (9) Kawano, H.; Nezu, A.; Matsuura, H.; Akatsuka, H. Estimation of Vibrational and Rotational Temperatures of N2+ of Microwave Discharge Nitrogen Plasma by Optical Emission Spectroscopy Measurement. IEE Japan 2011, PST-11 (2), 15−18. (10) Wang, P. W.; Hsu, J. C.; Lin, Y. H.; Chen, H. L. Structural Investigation of High-Transmittance Aluminum Oxynitride Films Deposited by Ion Beam Sputtering. Surf. Interface Anal. 2011, 43, 1089. (11) Jeon, S.; Jang, H.; Kim, H.; Noh, D.; Hwan, H. Electrical Characteristics of AlOxNy Prepared by Oxidation of Sub-10-nm-thick AlN Films for MOS Gate Dielectric Applications. J. Korean Phys. Soc. 2000, 37, 886−88. (12) Shin, B.; Weber, J. R.; Long, R. D.; Hurley, P. K.; Van de Walle, C. G.; McIntyre, P. C. Origin and Passivation of Fixed Charge in Atomic Layer Deposited Aluminum Oxide Gate Insulators on Chemically Treated InGaAs Substrates. Appl. Phys. Lett. 2010, 96, 152908. (13) Long, R. D.; Jackson, C. M.; Yang, J.; Hazeghi, A.; Hitzman, C.; Majety, S.; Arehart, A. R.; Nishi, Y.; Ma, T. P.; Ringel, S. A.; McIntyre, P. C. Interface Trap Evaluation of Pd/Al2O3/GaN Metal Oxide Semiconductor Capacitors and the Influence of Near-Interface Hydrogen. Appl. Phys. Lett. 2013, 103, 201607. (14) Djara, V.; Cherkaoui, K.; Schmidt, M.; Monaghan, S.; O’Connor, E.; Povey, I. M.; O’Connell, D.; Pemble, M. E.; Hurley, P. K. Impact of Forming Gas Annealing on The Performance of 21094

DOI: 10.1021/acsami.6b03862 ACS Appl. Mater. Interfaces 2016, 8, 21089−21094