Atomic Layer Deposition of Noble Metals and Their Oxides - Chemistry

Use your free ACS Member Universal Access (if available). Log In. If you have an individual subscription, please log in using your ACS ID to gain acce...
4 downloads 0 Views 731KB Size
Review pubs.acs.org/cm

Atomic Layer Deposition of Noble Metals and Their Oxides Jani Ham ̈ al̈ aï nen,* Mikko Ritala,* and Markku Leskela*̈ Laboratory of Inorganic Chemistry, Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki, Finland ABSTRACT: Atomic layer deposition (ALD) is an attractive method to deposit thin films for advanced technological applications such as microelectronics and nanotechnology. One material group in ALD that has matured in 10 years and proven to be of wide technological importance is noble metals. In this paper, thermal ALD of noble metals and their oxides is reviewed. Noble metal films are mostly grown using O2 as the nonmetal precursor in a combustion-type chemistry. Alternatively, lower growth temperatures can be reached via noble metal oxide growth with consecutive reactions with ozone and H2. The use of true reducing chemistry (i.e., H2) is typical only for ALD of palladium at low temperatures. On the other hand, ALD of noble metal oxides has been limited with reactants such as ozone and O2 gas. In this review, reaction mechanisms in various types of processes are discussed and issues in nucleation are addressed. Deposition temperatures, film growth rates, and purities as well as evaporation temperatures used for noble metal precursors are tabulated for comparison. KEYWORDS: atomic layer deposition, ALD, noble metal, noble metal oxide, ruthenium, rhodium, palladium, osmium, iridium, platinum, thin film

1. INTRODUCTION Noble Metals and Their Oxides. Noble metals consist of metallic elements that have outstanding resistance to oxidation even at high temperatures. Noble metals also resist chemical action, do not corrode, and are not easily attacked by acids. According to the generally accepted definition, the noble metals include ruthenium, osmium, rhodium, iridium, palladium, platinum, silver, and gold. The noble metals are good conductors, but they are even better known as catalysts that readily accelerate or control the rate of many oxidation, reduction, and hydrogenation reactions. Although the noble metals resist oxidation, they can still be oxidized, and relatively stable noble metal oxides are formed. Selected properties of the noble metal oxides are summarized in Table 1. However, the noble metal oxides may decompose quite easily upon heating. Ru and Os form volatile highoxidation-state oxides (RuO4 and OsO4) under strongly oxidizing conditions. Noble metal oxides in general are not viewed to be as important as noble metals; however, they can be interesting materials with intriguing combinations of properties. The primary example of this is iridium oxide, which is conductive and biocompatible and thus is a candidate material for biological applications and implantable devices (Figure 1). Highly conductive noble metal oxides, such as IrO2 and RuO2, are also structurally compatible electrode materials with high-κ dielectric materials such as TiO2 and SrTiO3. Atomic Layer Deposition. Atomic layer deposition (ALD)10,11 was developed in the 1970s to deposit thin films chemically from a vapor phase in a cyclic manner. In a typical ALD cycle, four steps are needed. The surface is exposed to the first precursor, which saturates the surface. After that, the excess © 2013 American Chemical Society

Table 1. Selected Properties of the Most Common Noble Metal Oxides1 noble metal

metal oxide

density (g cm−3)

melting point (°C)a

Ru

RuO2 RuO4 OsO2 OsO4 Rh2O3 RhO2 Ir2O3 IrO2 PdO PtO PtO2 Ag2O AgO Ag2O2 Au2O3

7.1 3.3 11.4 5.1 8.2 7.2

1300 dec. 25 (bp 40) 500 dec. 41 (bp 131) 1100 dec.

Os Rh Ir Pd Pt Ag

Au

11.7 8.3 14.1 11.8 7.2 7.5 7.4

1000 dec. 1100 dec. 750 dec. 325 dec. 450 ∼200 dec. >100 dec. >100 ∼150 dec.

resistivity (μΩ cm)b 35, 50 15, 60 5 × 106 100 35[011], 49[001], 60

1 × 1012

a

The boiling points of volatile higher-oxidation-state noble metal oxides of Ru and Os have been included in parentheses. “dec.” denotes “decomposes”. bSee refs 2−8.

precursor and volatile byproducts are purged from the reactor. The adsorbed layer of the first precursor is then exposed to and Special Issue: Celebrating Twenty-Five Years of Chemistry of Materials Received: July 5, 2013 Revised: September 30, 2013 Published: October 29, 2013 786

dx.doi.org/10.1021/cm402221y | Chem. Mater. 2014, 26, 786−801

Chemistry of Materials

Review

saturative steps, the film growth is self-limiting, which in turn ensures that the film thickness is controlled precisely and the grown film is uniform and conformal. Precursors in ALD should be thermally stable to maintain the self-limiting saturative behavior on a surface. The stepwise deposition also allows tuning of the film composition by various mixing possibilities. These properties make ALD one of the most interesting thin-film deposition methods for microelectronics and nanotechnology. A large number of thin-film materials can be grown by ALD.12,13 These include oxides, sulfides, selenides, tellurides, nitrides, and fluorides but also some metals, most notably W, Cu, and noble metals. A recent review12 lists thermal ALD and plasma-enhanced ALD (PEALD) processes for ca. 175 materials. In deposition of metal films, thermal energy is not necessarily enough to activate the reaction. Thus, PEALD is a useful option in the deposition of metal films, especially at low temperatures. A thorough and up-to-date summary of PEALD technology can be found in the review article by Profijt et al.14 Although there have been serious difficulties in depositing metal films by thermal ALD, noble metals have been a success story. Most of them, with the expection of silver and gold, can be deposited basically with the same type of thermalcombustion-type process using molecular O2. The first ALDgrown noble metal thin films were reported in 2003 (ref 15), and since then, the process development has been expanding because of the importance of these materials in micro-

Figure 1. Microelectrode array with 29 Ti microelectrodes (left half; bright spots) and 29 ALD IrOx coated microelectrodes (right half; dark spots) for stem cell research application. The microelectrode diameter is 30 μm. Reprinted with permission from ref 9. Copyright 2012 American Vacuum Society.

reacted with the second precursor. The volatile byproducts and excess of the second precursor are finally purged from the reactor to complete the ALD cycle. By repetition of these

Figure 2. (a, b) Scanning electron micrographs of a diffractive Fresnel zone plate X-ray lens where ALD Ir has been deposited on a hydrogen silsesquioxane resist template to double the frequency of the diffractive rings. (c−f) High-magnification top and tilted images (c, e) before and (d, f) after ALD Ir coating. Reprinted with permission from ref 17. Copyright 2011 Optical Society of America. 787

dx.doi.org/10.1021/cm402221y | Chem. Mater. 2014, 26, 786−801

Chemistry of Materials

Review

substantial number of ALD Ru precursors have been introduced and explored (Figure 4). The wide applicability of Ru originates not only from the good properties of Ru itself but also from the good electrical conductivity of the ruthenium oxide that may form when dielectric oxides are grown on Ru films as electrodes. Molecular O2 is used most often as the other reactant in thermal ALD. Molecular H2 and NH3 have been applied in a few cases with Ru precursors that are highly reactive or have low thermal stability. Tables 2 and 3 summarize thermal ALD processes for Ru, showing the deposition temperatures, growth rates, and impurity contents. The most widely studied and applied Ru ALD precursors are metallocenes and their derivatives. Several of these cyclopentadienyl-based Ru precursors have been used with molecular O2 as a reactant. Although most papers have reported the growth of Ru only, the formation of ruthenium oxide has been observed in some studies when specific combinations of deposition parameters have been applied. Interestingly, ozone has also been used as a reactant for ALD of Ru, although ozone can easily etch Ru to form volatile RuO4. Shorter nucleation delays, smoother films, and better adhesion were listed as benefits of using ozone, while similar resistivities, impurity concentrations, and densities as with the O2-based chemistry were obtained. Ru β-diketonate precursors have not been studied as extensively as the cyclopentadienyl precursors. Ru(thd)3 and Ru(od)3 were successfully used shortly after the RuCp2−O2 ALD process for Ru, but other β-diketonates have not been reported since. The β-diketonate precursors have Ru in an oxidation state of +3, instead of +2 as in the metallocene precursors. The lower oxidation state may be one of the reasons why metallocenes are preferred over β-diketonates in the O2based combustion ALD processes. The reported β-diketonates seem to need similar growth temperatures as the metallocenes and result in comparable growth rates (Table 2). Correlation of the metal precursors and the amounts of impurities in the Ru films is complicated by different analytical techniques, but it seems that the β-diketonates lead to similar or slightly higher impurity contents than the metallocenes (Table 3). Besides Cp compounds and β-diketonates, other types of Ru precursors have also been used, some of which are unconventional and innovative. For example, metallocenes have been modified to more reactive and less stable compounds by changing one or both Cp rings to other ligands, namely, from Ru(EtCp)2 to (EtCp)Ru(DMPD) and Ru(DMPD)2, where DMPD is the noncyclic diene dimethylpentadienyl. Further examples shown in Figure 4 illustrate how the noble metal ALD precursor design and synthesis is progressing from the βdiketonates (+3) and metallocenes (+2) toward the zerooxidation-state precursors. However, even a precursor with a very high oxidation state (+8), RuO4, is not unfamiliar to the noble metal ALD chemistry. With RuO4 the other reactant was H2, though. Ruthenium Oxide. Similar to the ALD of metallic Ru, molecular O2 is used as the reactant in the ALD of RuO2. Key parameters determining whether RuO2 or Ru is formed are the deposition temperature, precursor doses, and oxygen partial pressure. It should be emphasized that these parameters are not independent of each other, as RuO2 formation is observed only when an optimized combination of parameters is applied; otherwise, metallic Ru is always obtained. The reported ruthenium oxide processes and their properties are collected in Tables 4 and 5. These examples suggest that although RuO2

electronics, catalysis, and nanotechnology (Figure 2). The research has focused primarily on applying the deposited materials in various fields and in examining new precursors for the industrially most viable materials. Within 10 years, about 40 noble metal precursors and over 70 processes have been examined for thermal ALD and PEALD of noble metals and their oxides.16 For space reasons, however, the current review concentrates only on thermal ALD processes and the precursors used in those.

2. THERMAL ALD PROCESSES FOR NOBLE METALS AND THEIR OXIDES Thermal ALD processes for noble metals can be divided into three classes on the basis of the applied reactant (Figure 3).

Figure 3. Simplified flowchart of the main thermal ALD processes for noble metals and their oxides.

The most common are the O2-based processes, in which deposition temperatures of 200 °C and above have been required for the film growth. The noble metal thin films deposited with these processes include Ru, Os, Rh, Ir, and Pt. Also, Pd films have been deposited, but with limited success. In contrast, the conventional reducing agents H2 and formalin have been successfully used in the ALD of Pd. With these processes, Pd films have been deposited below 200 °C. Noble metals have been grown by ALD also via noble metal oxides at temperatures below 200 °C. Rh, Ir, and Pt metal films were deposited by supplying ozone followed by H2 in every growth cycle. The success in Pd growth via palladium oxide has been limited. At temperatures above 200 °C, the ozone-based processes also deposit metallic films directly, without H2. Although noble metals are commonly grown by ALD, process development for noble metal oxides has been scarce. Thermal ALD of noble metal oxides with ozone has been reported for Rh, Ir, Pd, and Pt (Figure 3). Rh2O3, IrO2, PdO, and PtOx films have been grown only at temperatures below 200 °C. In general, the ALD noble metal oxide growth with ozone is achieved only at temperatures lower than those used to deposit the corresponding noble metals with O2. As noble metal oxides can be reduced easily to metals, the ALD oxide growth can be converted to metal growth by addition of H2 pulses, enabling the ALD of noble metal thin films at lower temperatures than required with O2. In addition, RuO2 and IrO2 have been deposited with O2 at temperatures above 200 °C but only by using carefully optimized growth parameters. Ruthenium. Ruthenium is one of the most attractive noble metals with respect to cost and physical properties. Therefore, a 788

dx.doi.org/10.1021/cm402221y | Chem. Mater. 2014, 26, 786−801

Chemistry of Materials

Review

Figure 4. Ruthenium precursors reported for Ru ALD processes.

oxide ALD processes with their deposition temperatures, growth rates, and impurity contents are shown in Tables 6 and 7. Iridium and Iridium Oxide. Various Ir precursors have been presented in the literature for thermal ALD processes for Ir and IrO2 (Figure 6). The Ir thermal ALD processes are based on either oxidative (combustion) chemistry, reductive chemistry, or a combination of these two (Table 8). The combustion processes using molecular O2 are the most common also for the Ir ALD. Iridium oxide thin films have been grown by ALD using either ozone or O2 as an oxidant. Table 9 lists the reported impurity contents in the Ir metal and IrO2 films. Palladium and Palladium Oxide. ALD processes for Pd have been based on a single Pd precursor, namely, Pd(hfac)2, although the suitability of some other precursors has also been examined (Figure 7). Different from the other noble metal ALD processes, the Pd processes rely mostly on true reducing agents rather than on the most common molecular oxygen (Table 10). Glyoxylic acid, molecular H2, and most commonly formalin have been used to deposit Pd films. In contrast, the exploration of palladium oxide thin films has been very limited (Table 10). The impurity contents of the Pd and PdO films are collected in Table 11.

growth has not been verified with all Ru precursors, the deposition should be feasible with metallocenes and βdiketonates as well as other precursors alike. Osmium. Osmium is a challenging metal because of its hardness, brittleness, low vapor pressure, and very high melting point, and it also oxidizes easily to either OsO2 or the dangerous, volatile OsO4. These characteristics explain the limited interest in Os metal film deposition. Anyhow, Os film growth has been demonstrated by ALD using OsCp2 and O2 between 325 and 375 °C (Figure 5).102 The growth rates were roughly 0.2 Å/cycle at 325 °C and 0.3 Å/cycle between 350 and 375 °C. The films were very pure, containing less than 1 atom % oxygen, carbon, and hydrogen impurities each. This is the only report of Os film deposition by ALD, and no osmium oxide ALD processes have been reported. An ozone-based process would not be desirable because Os may easily oxidize to the volatile OsO4 instead of OsO2 even at room temperature. However, the approaches used for ALD of RuO2 with O2 should be feasible for ALD of OsO2 as well. Rhodium and Rhodium Oxide. Rh processes are one of the least examined among the noble metal ALD processes. The process development has been based solely on a single βdiketonate precursor, Rh(acac)3. The published Rh metal and 789

dx.doi.org/10.1021/cm402221y | Chem. Mater. 2014, 26, 786−801

Chemistry of Materials

Review

Table 2. Ru ALD Processes Reported in the Literaturea metal precursor RuCp2

Ru(EtCp)2

Tvap (°C) 50 60 80

50 80 85 80 80 80 65

Ru(EtCp)2 (EtCp)Ru(MeCp) (Me2NEtCp)RuCp (EtCp)Ru(DMPD) (EtCp)Ru(DMPD) in ECH

45 75−80

200

Ru(DMPD)2 (EtCp)Ru(Py) (MeCp)Ru(Py) Ru(Me2Py)2 Ru(Cp)(CO)2Et Ru(thd)3 Ru(thd)3 in ECH Ru(od)3 in n-butylacetate (iPr-Me-Be)Ru(CHD)

(Et-Be)Ru(CHD) (Et-Be)Ru(Et-CHD) Ru(Me-Me2-CHD)2 Ru(CO)3(CHD) Ru(tBu-Me-amd)2(CO)2 Ru(tBu-Me-amd)2(CO)2 ToRuS a

230 60 82 55 55−60 85 90 100 100 200 120 100 120 100 100 100 60 60 140 130 RT 25

reactant O2 (air) O2 O2 O2 (air) O2 O2 O2 O2 O2 O2 O2 O2 O2 ozone O2 O2 (air) O2 O2 O2 O2 O2 O2 O2 O2 O2 O2 O2 O2 O2 (air) O2 O2 O2 O2 O2 O2 O2 O2 O2 O2 O2 NH3 O2 NH3 H2 H2

Tdep (°C)

growth rate (Å cycle−1)

ref(s)

275−400 225−275 245 250 270 275 310−350 300, 350 270 270 300 300 300 225−275 250−325 325−500 250 210−290 230−280 250 280 175−250 325 275−350 NA 250−325 200−325 325 325−450 250, 325 330, 380 275−450 185−310 220 225 140−350 140−350 140−350 200−325 NA 200 300−400 200−300 100−200 >150, >200

, 0.3 , 0.4325 −375 °C, 0.5400 °C 0.1 225 °C 250 °C , 0.3 , 0.4275 °C 0.1 0.2−0.3 0.2 0.5 1 1 1.2300 °C 0.7, 1.5 1.0 0.4 0.5 1.8 0.9225 °C, 1.1250 °C, 1.2275 °C 0.2−0.3250 °C, 0.4−0.5275−300 °C 0.2325−350 °C, 0.4375 °C, 0.5400−450 °C, 0.8500 °C 0.4 0.2210 °C, 0.3−0.4230 °C, 0.4−0.5250 °C, 0.3−0.5290 °C 0.4225 −250 °C, 0.5280 °C 0.3 0.5