Atomic Layer Deposition of SiCxNy Using Si2Cl6 ... - ACS Publications

Jun 28, 2017 - At higher plasma powers, the CH3NH2 plasma half cycle was not self-limiting and led to continuous carbon nitride growth. 1. INTRODUCTIO...
0 downloads 0 Views 4MB Size
Article pubs.acs.org/cm

Atomic Layer Deposition of SiCxNy Using Si2Cl6 and CH3NH2 Plasma Rafaiel A. Ovanesyan,† Noemi Leick,† Kathryn M. Kelchner,‡ Dennis M. Hausmann,*,‡ and Sumit Agarwal*,† †

Department of Chemical and Biological Engineering, Colorado School of Mines, Golden, Colorado 80401, United States Lam Research Corporation, 11155 SW Leveton Drive, Tualatin, Oregon 97062, United States



S Supporting Information *

ABSTRACT: We developed a novel process for the atomic layer deposition (ALD) of SiCxNy films using a Si2Cl6 and a CH3NH2 plasma. Under self-limiting growth conditions, this ALD process led to SiCxNy films with up to 9 atomic percent carbon with a conformality >95% in 5:1 aspect ratio nanostructures. The surface reactions during ALD, and in particular the carbon incorporation mechanism, were studied using in situ attenuated total reflection Fourier transform infrared spectroscopy. Similar to the Si2Cl6 and NH3 plasmabased process, we show that on the SiCxNy growth surface, Si2Cl6 reacts primarily with surface −NH2 species that were created after the CH3NH2 plasma cycle. During the subsequent CH3NH2 half cycle, the surface chlorine was liberated, creating −NHx (x = 1 or 2) groups, while carbon was incorporated primarily as −NCN− species. In situ ellipsometry showed that the growth per cycle and the refractive index were ∼1 Å and ∼1.85, respectively. Elemental depth profiling with secondary ion mass spectrometry showed that, as the plasma power was increased from 50 to 100 W, the carbon atomic fraction increased from ∼4 to ∼9%. At higher plasma powers, the CH3NH2 plasma half cycle was not self-limiting and led to continuous carbon nitride growth. modification of known ALD processes for SiNx and SiO2.19 In particular, we tested two approaches for C incorporation into SiNx films: (a) ALD with Si precursors that contain Si−C bonds such as SiCl2(CH3)2 with a NH3 plasma, which is a modification of known SiNx ALD processes using SiCl2H2 or Si2Cl6 and NH3 plasma,10,20 and (b) three-step ALD processes where we inserted an intermediate cycle of a reactive C precursor such as CH3I or Al(CH3)3 in between the Si precursor and the NH3 or N2 plasma cycles of known ALD processes for SiNx growth. The former approach of using precursors with Si−C bonds was not successful, as the −CH3 groups in the precursor were lost either during chemisorption or during the NH3 plasma cycle. On the other hand, the latter three-step approach was not successful as −CH3 was the leaving group upon chemisorption of CH3I onto surface SiHx (x = 1, 2, or 3) groups, and Al(CH3)3 led to residual Al.19 Although the presence of adventitious C has been reported using aminosilane precursors and N2 plasma,12,21 the ALD of SiCxNy with tunable C incorporation remains elusive. In this work, we present a novel, self-limiting, lowtemperature (≤400 °C) plasma-assisted ALD process for the growth of SiCxNy films. To enable SiCxNy ALD, we focused our

1. INTRODUCTION The fabrication of next-generation semiconductor devices requires Si-based dielectric films with a tunable composition and dielectric constant.1,2 In particular, C-containing SiNx films1 (SiCxNy) are required for applications such as diffusion barriers,3 etch stops,4 and sidewall spacers.5 The next generation of semiconductor devices further imposes two stringent requirements on the SiCxNy films: conformality >95% over high-aspect-ratio nanostructures and a deposition temperature ≤400 °C. Atomic layer deposition (ALD) is a thin-film deposition technique capable of meeting these requirements. ALD is characterized by sequential, self-limiting, gas−solid reactions that typically deposit material at a rate of ∼1 Å/ cycle.6,7 Furthermore, the self-limiting nature of the surface reactions leads to highly conformal film growth. Addition of a plasma-assisted step can lower the deposition temperatures, making ALD a suitable technique for low-temperature, conformal growth of SiCxNy films.8 However, to the best of our knowledge, there are no known processes for the ALD of SiCxNy films reported in the literature. Numerous thermal and plasma-assisted ALD processes for SiNx have been reported in the literature that are primarily based on chlorosilanes,5,9,10 aminosilanes,11−14 silylamines,15−17 and silanes17,18 as the Si precursors. Recently, we proposed a framework for the development of ALD processes for Ccontaining Si-based dielectrics that was based on the © 2017 American Chemical Society

Received: April 2, 2017 Revised: June 27, 2017 Published: June 28, 2017 6269

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278

Article

Chemistry of Materials

a commercial FTIR spectrometer (Nicolet 6700) was directed via a series of optics normal to the tapered face of a trapezoidal 50 × 20 × 1 mm ZnSe internal reflection crystal (IRC) with the short faces beveled at 45° (see Figure 1). The infrared beam was incident onto the flat face of the ZnSe IRC at an angle of 45°, which is greater than the angle for total internal reflection. The infrared beam undergoes ∼25 internal reflections on the top and bottom faces of the crystal, after which it exits through the opposite 45° beveled edge and is channeled through another set of optics to a liquid-N2-cooled mercury cadmium telluride (MCT-A) detector. The ZnSe IRC, which was used as the deposition substrate, was clamped to a substrate heater (Blue Wave Semiconductors), which also acted as the grounded electrode for the capacitively-coupled plasma source. The input radio frequency (rf) power to the plasma source was 50−200 W at 13.56 MHz. During deposition, the plate spacing between the grounded substrate and the top rf-powered electrode was kept at ∼4.5 cm. ZnSe was chosen as the IRC material because it is transparent in the infrared from 700 to 4000 cm−1 at temperatures >500 °C. To ensure that we were studying SiCxNy ALD on a relevant growth surface and to protect the IRC, prior to recording data for the SiCxNy ALD process, the IRC was coated with a thin amorphous hydrogenated SiNx film deposited by plasma-enhanced chemical vapor deposition (PECVD) at 350 °C using SiH4/Ar (1% SiH4, 99% Ar) and NH3 at a flow rate of 100 and 5 standard cm3/min (sccm), respectively. The total gas pressure in the chamber during SiNx deposition was ∼300 mTorr with 100 W rf power to the plasma source. To erase the memory of the underlying SiNx film and the ZnSe substrate on the subsequent growth process, a thin SiCxNy film was deposited using 10 or more ALD cycles. Unless specified otherwise in the Results and Discussion section, the in situ infrared spectra were recorded as difference spectra where a fresh background was collected prior to each process step. The infrared data was recorded over a spectral range of 700 to 4000 cm−1 with a spectral resolution of 4 cm−1. Each spectrum was averaged over 250−500 scans. The chamber was also equipped with an in situ four-wavelength (465, 525, 580, and 635 nm) ellipsometer (Film Sense FS-1) (see Figure 1). In this setup, polarized light is directed through a Kodial glass window onto the substrate at an incident angle of 70° from the surface normal. The reflected beam exits the reactor through a second Kodial glass window (see Figure 1) and is incident onto a detector that measures the state of polarization. A ∼25 × 15 mm single-sidepolished Si wafer used for the ellipsometry measurements was placed onto the substrate heater adjacent to the ZnSe IRC. The initial surface preparation for the Si wafer prior to ALD was identical to that for the ZnSe IRC. The thickness of the native SiOx layer on Si was measured prior to deposition and assumed to remain constant thereafter. The transparent SiCxNy film was fitted using a Cauchy model. The refractive index and film thickness of the deposited SiCxNy films were also verified using ex situ spectroscopic ellipsometry (J. A. Woollam M-44). The in situ ellipsometry data was collected following the Ar purge step in the ALD cycle with no gases in the reactor and was averaged over 4 s. ALD Process. The plasma-assisted SiCxNy ALD process consisted of two sequential, alternating half-cycles of Si2Cl6 and CH3NH2 plasma exposure separated by Ar purge steps (see Figure 2). During the ALD cycles, the gate valve to the turbomolecular pump was closed, and the process gases were pumped using the dry mechanical pump. However, to eliminate the potential contributions of the unreacted precursor and reaction byproducts during the subsequent half-cycle, following the Ar purge step, the chamber was completely pumped down for 15 s using the turbomolecular pump. During the pump down step, the chamber pressure dropped to ∼1 × 10−5 Torr. All gas flow rates except Si2Cl6 were metered using mass flow controllers, and the gases were introduced into the chamber through pneumatic valves controlled via LabVIEW. Si2Cl6 (Sigma-Aldrich, 96% purity) was delivered using a fill−release−hold method described below where the fill volume was ∼10 cm3. The Si2Cl6 ampule was heated to 55 °C, while the delivery line to the ALD reactor was maintained at 65 °C to prevent Si2Cl6 condensation. During the Si2Cl6 half-cycle, the release time was 2 s with the Si2Cl6 held in the chamber

attention on an approach that uses alternating exposures of a reactive chlorosilane as the Si precursor, followed by a selflimiting plasma process where the gas contains both N and C atoms. Si2Cl6 was chosen as the Si precursor because it is one of the most reactive chlorosilanes and has been used for both thermal and NH3-plasma-assisted ALD of SiNx.10,22,23 Chlorosilanes have been shown to react primarily with surface −NHx (x = 1 or 2) species,9,10,20 and Si2Cl6 in particular reacts primarily with surface −NH2 groups. In the second half-cycle, we used a plasma with CH3NH2 as the feed gas instead of NH3. CH3NH2 is one of the simplest alkylamine molecules that contains a C and N bond. Furthermore, it has been shown that CH3NH2 plasmas do not lead to CNx film growth under a broad range of conditions.24 In contrast, a mixture of NH3 with CH4 feed gases in a plasma readily leads to CNx growth.25 Similar to an NH3 plasma, we expect that the presence of atomic H, NH, and NH2 radicals in CH3NH2 plasmas will produce surface −NHx (x = 1 or 2) species,24,26 which would then be the reactive surface sites for Si2Cl6 chemisorption. The growth of SiCxNy films was monitored using in situ attenuated total reflection Fourier transform infrared (ATRFTIR) spectroscopy to identify reactive surface sites that lead to film growth and elucidate the mechanism for C and H incorporation into the deposited SiCxNy film. The growth per cycle (GPC) and the refractive index of the deposited films were monitored using in situ four-wavelength ellipsometry. The films were further characterized using several ex situ techniques, including transmission electron microscopy (TEM), Rutherford backscattering spectroscopy (RBS) combined with hydrogen forward scattering (HFS), and dynamic secondary ion mass spectrometry (SIMS).

2. EXPERIMENTAL SECTION ALD Reactor and In Situ Diagnostics. The SiCxNy films were deposited in an ALD reactor (see Figure 1) which was evacuated to a base pressure of 1 × 10−6 Torr using a turbomolecular pump (Pfeiffer TMU-521P) backed by a mechanical pump (Kashiyama NV60N-2). This reactor is equipped with an in situ ATR-FTIR spectroscopy setup described previously.27−32 Briefly, in this setup, an infrared beam from

Figure 1. Cross-sectional schematic of the ALD reactor equipped with in situ ATR-FTIR spectroscopy and in situ four-wavelength ellipsometry setups. 6270

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278

Article

Chemistry of Materials

3. RESULTS AND DISCUSSION Infrared Characterization of the SiCxNy Film. Figure 3a shows the infrared spectra for a SiCxNy film deposited using

Figure 2. Schematic showing the gas pulsing sequence during plasmaassisted ALD of SiCxNy. The shaded boxes represent the times at which the reactor was pumped down using the turbomolecular pump.

for 20 s by closing the valve to the dry mechanical pump. During this period, the chamber pressure was ∼150 mTorr, providing a Si2Cl6 dose of ∼3 Torr·s. We have previously shown that a Si2Cl6 dose of 1.2 Torr·s was sufficient for the Si2Cl6/NH3 plasma ALD process.10 The Si2Cl6 cycle was followed by a 30 s Ar purge step at 100 sccm resulting in a chamber pressure of ∼270 mTorr. Following the Ar purge step, the chamber was pumped down for 15 s, after which 100 sccm of Ar was reintroduced into reaction chamber, and an additional 17 sccm of CH3NH2 (Matheson 99.5%) was introduced 15 s prior to igniting the plasma to allow the chamber pressure to stabilize at ∼290 mTorr. The duration of the CH3NH2/Ar plasma half-cycle was 45 s. The final step in the complete ALD cycle was a 30 s Ar purge step to remove the unreacted CH3NH2 from the chamber, followed by a 15 s pump down with the turbomolecular pump. Ex Situ Film Characterization. To determine the deposition conformality for the above SiCxNy ALD process, we deposited a ∼25 nm-thick SiCxNy film over nanostructures with an aspect ratio of ∼5. To create these nanostructures, a SiO2 film was deposited on a Si wafer using PECVD and subsequently plasma etched into trench structures. These trench structures in SiO2 were then coated with a SiNx layer deposited by high-temperature chemical vapor deposition, onto which a SiO2 thin film was deposited using ALD to provide contrast in TEM images. The cross-sectional imaging of these SiCxNy films deposited on the high-aspect-ratio nanostructures was performed on a JEOL 2010F ultrahigh-resolution scanning TEM at 200 kV. The SiCxNy sample was coated with a layer of spin-on epoxy to protect the film from damage during sample preparation. The SiCxNy sample was then attached onto a Cu TEM grid, after which it was milled and polished using an ion beam at 30 kV, 100 pA; and 5 kV, 40 pA, respectively. RBS and HFS were used to determine the elemental composition of a SiCxNy film deposited at 400 °C at a plasma power of 100 W. For the RBS measurements, the incident He2+ beam energy was 2.275 MeV, and the ion beam was oriented at 75° with respect to the sample normal. The He2+ backscatter detector and the HFS detector were positioned at an angle of 160° and 30°, respectively, with respect to the direction of the unscattered He2+ beam. Dynamic SIMS was used to determine the elemental composition of the SiCxNy film as a function of depth. To determine the effect of plasma power on the Ccontent of the deposited films, a ∼25 × 15 mm Si coupon was coated with a stack of SiCxNy films deposited at rf powers of 50, 100, and 200 W during the CH3NH2 plasma half cycle. The dynamic SIMS depth profiling was done using a quadrupole SIMS instrument (PHI 6650) using Cs+ primary ions for bombardment while detecting the secondary negative ions that were ejected from the deposited film.

Figure 3. (a) Infrared spectrum of a SiCxNy film deposited by 250 ALD cycles (black line) of Si2Cl6 and CH3NH2 plasma at 400 °C, and (b) Gaussian deconvolution of the infrared spectrum in (a) over the range of 1950−2400 cm−1. The pink line shows the cumulative fit; the blue line shows the Si−NCN−Si feature, and the dark yellow line shows the >CCN− features.

250 Si2Cl6 and CH3NH2 plasma ALD cycles at 400 °C and 100 W of power to the CH3NH2 plasma. The presence of the feature at 870 cm−1 is attributed to the Si−N−Si asymmetric stretch in an amorphous SiNx film and confirms film growth.33 The lack of a shoulder on this feature at ∼780 cm−1, which is attributed to Si−C−Si asymmetric stretching mode in amorphous SiCx films,34,35 makes the presence of a SiCx phase within the deposited SiNx film unlikely. This implies that most of the Si atoms are bonded to N and not C atoms, and therefore, the deposited film is primarily a SiNx film. Figure 3b shows the deconvolution of the infrared spectrum in Figure 3a over the range of 1950−2400 cm−1 using three Gaussian curves centered at 2040, 2070, and 2170 cm−1. On the basis of previous literature on plasma polymerization of cyano compounds and PECVD of CNx, we assigned the two small features at ∼2040 and 2070 cm−1 to the keteneimine (>C CN−) stretching vibration.36,37 These two vibrational modes cannot be attributed to the −SiHx (x = 1, 2, or 3) stretching vibrations because the film contains primarily Si−N bonds, and the −SiHx (x = 1, 2, or 3) stretching frequency, if the Si atom is backbonded to only N atoms, appears at >2150 cm−1.38 Thus, only the third prominent Gaussian feature in Figure 3b, which is centered at ∼2170 cm−1, can possibly be assigned to −SiHx (x = 1, 2, or 3) stretching vibrations in SiNx films.12,21 However, in these films, the ∼2170 cm−1 frequency was 6271

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278

Article

Chemistry of Materials

during a half-cycle, and any decrease in absorbance is attributed to species that react with the incoming precursor. The decrease in absorbance for the −NH2 scissor mode at 1550 cm−1 indicates that −NH2 is a reactive site for Si2Cl6 chemisorption via a mechanism that is similar to the Si2Cl6 and NH3 plasma ALD process reported previously.10 In that study, we proposed that Si2Cl6 reacts with −NH2 surface species via a transition state that involves overcoordination of the surface N atom with the precursor’s Si atom to ultimately create surface −NH and − SixCl(2x−1) (x = 1 or 2) groups with HCl released as the gasphase product.45 This reaction pathway was based on the density functional theory calculations by Murray et al., who proposed that on a −NH2 terminated surface a Si precursor will create a four-atom overcoordinated transition state with the −NH2 surface group that will then collapse to the bound reactant and an unbound reaction byproduct. In the case of the Si2Cl6 precursor and a −NH2 surface species, the four-atom overcoordinated state will consist of a Si and Cl atom from Si2Cl6 and a N and H atom from the surface −NH2. This overcoordinated state will then collapse to a bound −NHSixCl(2x−1) (x = 1 or 2) surface group and an unbound HCl molecule. Consistent with this reaction pathway, in Figure 4, during the Si2Cl6 half-cycle there is a corresponding increase in absorbance for the −NH stretching mode at ∼3350 cm−1 and a small decrease in the −NH2 stretching mode at ∼3400 cm−1 as surface −NH2 groups get converted to surface −NH groups. It has been reported that the −NH2 stretching vibration occurs at frequencies higher than those of the −NH stretching vibration.46,47 This is the most plausible explanation for the increase in absorbance for the −NH stretching mode during the Si2Cl6 half-cycle as the Si precursor itself brings no H atoms to the surface. Compared to similar half-cycle infrared spectra reported previously for the Si2Cl6 and NH3 plasma process, the increase in the −NH bending mode at ∼1180 cm−1 during the Si2Cl6 half-cycle in Figure 4 is somewhat obscured by the presence of features at ∼1040 and 1220 cm−1: the origin of these vibrational modes will be discussed later in this section.48,49 Chemisorption of Si2Cl6 on the surface should also result in an increase in the −SiClx (x = 1, 2, or 3) stretching modes at ∼600 cm−1.50 However, these vibrations could not be observed in our experiments due to the cutoff frequency of ∼700 cm−1 for our infrared setup. Finally, during the Si2Cl6 half cycle, there is an unexpected net increase in absorbance in the −NHx (x = 1 or 2) stretching region at ∼3300 cm−133 (see Figure 4), even though there should be a net decrease in the number of surface N−H bonds due to reaction with Si2Cl6.10 The most likely reason for the increase in absorbance for the −NHx (x = 1 or 2) stretching mode, despite a decrease in the number of N−H bonds, is that the infrared absorption cross-section for the −NH stretching mode is much higher than that of the −NH2 stretching mode. In the subsequent NH3 plasma half-cycle (see Figure 4), the bound − SixCl(2x−1) species are removed, and the surface is restored to −NHx (x = 1 or 2) termination, allowing for the continuation of SiNx film growth. The decrease in integrated absorbance for the −NH2 bending mode at ∼1550 cm−1 during the Si2Cl6 half-cycle was ∼0.03 cm−1 for both the Si2Cl6 and CH3NH2 plasma ALD process, and the Si2Cl6 and NH3 plasma ALD process described in prior work,10 which suggests that −NH2 surface species are the primary reactive surface site for Si2Cl6 adsorption in the Si2Cl6 and CH3NH2 plasma ALD process. Because Si2Cl6 has been shown to not react with surface −NH species at

assigned to the carbodiimide stretching vibration in the Si− NCN−Si bonding configuration39 due to the following reason. Because the Si precursor, Si2Cl6, does not contain any Si−H bonds, we expect that the surface −SiHx (x = 1, 2, or 3) species, if present, would primarily be created in the presence of H radicals in a CH3NH2 plasma. However, we have previously shown that the infrared spectra of C-free SiNx films deposited via an ALD process using the same Si precursor and NH3 plasma do not show a strong band at ∼2170 cm−1 at the same deposition temperature of 400 °C.10 Because we expect H radicals to be produced in a NH3 plasma as well,26 it is very likely that the feature at ∼2170 cm−1, which is observed only in the CH3NH2 plasma process, is due to the incorporation of Crelated species in the SiNx film. Some studies on chemical vapor deposition of SiCxNy films have assigned the feature at ∼2170 cm−1 to −CN stretching vibrations.34,40,41 We speculate that in an ALD process, − CN may form on the surface during the CH3NH2 plasma half cycle, but these species would very likely be abstracted as HCN molecules by atomic H produced in the plasma.24 Nevertheless, we acknowledge that we cannot eliminate the possibility that the feature at 2170 cm−1 in Figure 3 contains minor contributions from the −SiHx (x = 1, 2, or 3) and −CN stretching vibrations. Because we established that the vibrational mode at ∼2170 cm−1 in Figure 3 is not due to −SiHx (x = 1, 2, or 3) stretching,38 the presence of the −NH bending mode and the −NHx (x = 1 or 2) stretching modes at 1180 and 3330 cm−1, respectively, and the corresponding absence of the −NH2 scissor mode42 at ∼1550 cm−1 and the −CHx (x = 1, 2, or 3) stretching mode at ∼2900 cm−143 suggest that H is bonded in these films primarily as −NH groups.44 Finally, the decrease in absorbance in the infrared spectrum in Figure 3a at ∼1640 cm−1 is due to changes in the ZnSe IRC as this feature appears in the reference infrared spectrum prior to PECVD of the SiNx film onto ZnSe. Carbon Incorporation and Overall Reaction Mechanism. Figure 4 shows the infrared spectra for the Si2Cl6 and CH3NH2 plasma half-cycles during the ALD process. In these spectra, because the reference spectrum was recorded prior to each half-cycle, any increase in absorbance is attributed to species that chemisorb or are created due to surface reactions

Figure 4. Infrared absorbance change during the Si2Cl6 and CH3NH2 plasma half-cycle at 400 °C. 6272

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278

Article

Chemistry of Materials temperatures ≤400 °C,10 the reaction of Si2Cl6 with surface −NH2 species to form −NH, as well as the −NH species created during the CH3NH2 plasma half-cycle, are the primary pathways for H incorporation within the deposited SiCxNy film. Finally, in Figure 4, the decrease at ∼1640 cm−1 during the CH3NH2 half-cycle is attributed to changes in the ZnSe IRC, and this feature is also observed in the infrared spectra for 250 cumulative ALD cycles shown in Figure 3a. In the half-cycle spectra in Figure 4, the prominent features between ∼1900 and 2400 cm−1 are attributed to sp-hybridized cumulated double bonds or triple bonds with C and N atoms: this assignment is made because neither precursor contains any Si−H bonds, which would also have stretching vibrations in the ∼2150 cm−1 region. To elucidate the C-incorporation mechanism, we carefully analyzed the region between ∼1900 and 2400 cm−1 via spectral deconvolution using Gaussian curves shown in Figures 5a and b for the Si2Cl6 and the

reacts with surface −NCNH species to form −N(SixCl2x−1)−CN (x = 1 or 2) with HCl formed as the gasphase reaction byproduct. Due to the almost complete inversion of the features at ∼2110 and 2210 cm−1 in the CH3NH2 plasma half-cycle when compared to the Si2Cl6 halfcycle, we conclude that during the CH3NH2 plasma half-cycle, the −N(SixCl2−‑1)−CN species are removed, and the −N CNH species are restored (see Figure 6). Thus, the creation of cyanamide species at the expense of carbodiimides in the Si2Cl6 half-cycle and the removal of cyanamide species and the creation of carbodiimide species during the CH3NH2 plasma half cycle (see Figure 6) could potentially explain the inversions observed in Figure 5. The removal and creation of cyanamide species also explains the two vibrational modes at ∼1040 and 1220 cm−1 seen in Figure 4. Several authors have previously attributed the ∼1040 and 1220 cm−1 modes to N−C stretching vibrations, which are present in cyanamide >N−CN species.48,51−53 These modes increase during the Si2Cl6 halfcycle and decrease during the CH3NH2 plasma half-cycle, which is consistent with the observation made for surface −N(SixCl2x−1)−CN species, which contain C−N bonds. The removal of the −N(SixCl2x−1)−CN species during the CH3NH2 plasma half-cycle obscures the expected increase in −NH bending at 1180 cm−1 due to a corresponding decrease in the features at ∼1040 and 1220 cm−1. Finally, the decrease in absorbance for the feature at ∼2040 cm−1 in Figure 5a was attributed to keteneimine (>CCN−) species36 and may occur due to thermal decomposition of >CCN−, a change in the absorption cross-section due to strong interaction with Si2Cl6, or due to reaction with Si2Cl6. If the peak position, full-width at half-maximum, and the area under the curve for the Gaussian curves at 2010 and 2110 cm−1 in Figure 5a are locked, and peak areas are inverted and used to deconvolute the CH3NH2 plasma half-cycle infrared spectrum in the same spectral region (see Figure 5b), we observe that two more peaks are necessary to obtain a good fit, a feature at 2040 cm−1 already assigned to the >CCN− vibrational mode and a new feature at ∼2170 cm−1 assigned to the Si− NCN−Si vibrational mode. Because a corresponding decrease in absorbance for the feature at 2170 cm−1 is not observed during the Si2Cl6 half-cycle, this indicates that Si− NCN−Si must be incorporated into the growing film and is the primary species responsible for C incorporation in the deposited SiCxNy films in addition to some contribution from >CCN− species. Absorbance increase for features at 2170 and 2040 cm−1 were also observed in Figure 3 and represent net incorporation of species during a complete ALD cycle. Furthermore, the integrated absorbance of the Gaussian curve at ∼2170 cm−1 during the CH3NH2 plasma half-cycle in Figure 5b is within a few percent of the integrated absorbance increase for the Si−NCN−Si species during one complete ALD cycle (obtained from the deconvolution in Figure 3b), which validates the deconvolution in Figure 5. We speculate that these carbodiimide species are stable due to the backbonding to two Si atoms via Si−N linkages, which protect them from removal during the subsequent CH3NH 2 plasma half-cycle. By combining the results from the half-cycle infrared spectra in Figure 4 with the results of the deconvolution in Figures 5a and b, the C-incorporation mechanism in the SiCxNy films is summarized in Figure 6. Ex Situ Characterization and Carbon Content. Figure 7 shows the film thickness during 10 complete ALD cycles measured using in situ four-wavelength ellipsometry for the

Figure 5. Spectral deconvolution of the 1950−2400 cm−1 region for the (a) Si2Cl6 and (b) CH3NH2 plasma half-cycles using Gaussian curves.

CH3NH2 plasma half-cycle, respectively. The deconvolution of the Si2Cl6 half-cycle spectrum in Figure 5a shows three features: a sharp decrease at 2110 cm−1, a sharp increase at 2210 cm−1, and a small decrease at 2040 cm−1. We attributed the decrease at 2110 cm−1 to the surface carbodiimide (−NCNH) stretching mode and the increase at 2210 cm−1 to a cyanamide (−NH−CN) stretching mode.48 Begue et al. had previously reported that carbodiimides and cyanamide species can convert between one another through the transfer of the H atom from one N atom to another within the −NCNH and −NHCN system.48 Using Ar matrix isolation, Begue et al. was able to identify the infrared spectra of the carbodiimide and cyanamide species during these conversions, which look similar to the inversions observed in Figure 5a. Therefore, based on the infrared spectrum in Figure 5a, we propose that the Si2Cl6 6273

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278

Article

Chemistry of Materials

Figure 6. Proposed reaction schematic for C-incorporation during SiCxNy ALD using Si2Cl6 and CH3NH2 plasma.

precursors.54,55 The slightly lower GPC of the CH3NH2 plasma ALD process is explained by the presence of Si−NCN−Si species on the growth surface that inhibit the complete restoration of the −NH2 reactive surface sites during the CH3NH2 plasma half-cycle and thus consume surface sites that would otherwise be available for chemisorption of Si2Cl6. Table 1 shows the elemental composition of a SiCxNy film deposited at 400 °C and 100 W rf power. The films have a fairly Table 1. Elemental Composition of a SiCxNy Film Grown Using Si2Cl6 and CH3NH2 Plasma ALD at 400 °C and 100 W Plasma Powera

Figure 7. SiCxNy and SiNx film thickness during 10 complete ALD cycles measured using in situ ellipsometry during the Si2Cl6 and CH3NH2 plasma and the Si2Cl6 and NH3 plasma ALD processes, respectively. The inset shows a zoomed-in view of two ALD cycles.

a

element

atomic fraction (%)

Si C N H Cl

30.8 ± 1.0 3.7 ± 4.0 46.1 ± 3.0 19 ± 2.0 trace

The composition was determined using RBS combined with HFS.

high H content, ∼19%, which is similar to that of SiNx films grown using Si2Cl6 and NH3 plasma ALD. The N/Si ratio is ∼1.5, which is higher than the stoichiometric value for SiNx of 1.33. The primary reason for the nonstoichiometric N/Si ratio is related to the substantial amount of H incorporated as −NH groups.10 The C content of the film is ∼3.7 ± 4%. The large uncertainty in the C content is attributed to the low atomic mass of C and the low number density of C within the deposited film.56 We later confirm the C content with SIMS and show that the C-incorporation is tunable to a certain extent via modulation of the plasma power. The residual Cl is

Si2Cl6 and CH3NH2 plasma ALD process at 400 °C. For comparison the film thickness is also plotted for the Si2Cl6 and NH3 plasma ALD process at the same growth temperature. The refractive index of both films was ∼1.85 as determined independently using ex situ spectroscopic ellipsometry. The GPC for the Si2Cl6 and CH3NH2 plasma process was ∼1 Å, while that for the Si2Cl6 and NH3 plasma process was higher at ∼1.2 Å. These GPC values are very similar to those reported for other SiN x ALD processes that use chlorosilane 6274

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278

Article

Chemistry of Materials negligible, which is attributed to the scavenging effect of H radicals present in a CH3NH2 plasma,20 which remove surface Cl atoms as HCl. On the basis of RBS and HFS, the mass density of the SiCxNy films was calculated to be ∼2.5 g/cm3, which is similar to the density of other SiNx films deposited via low-temperature ALD processes.18,57 We attribute the lower density of the deposited SiCxNy films relative to stoichiometric Si3N4 to the presence of H and C within the deposited films.58 Figure 8a shows the cross-sectional TEM image of a ∼25 nm-thick SiCxNy film deposited via Si2Cl6 and CH3NH2 plasma

Figure 9. Depth profile obtained using dynamic SIMS analysis of a SiCxNy stack deposited at 50, 100, and 200 W rf power to the CH3NH2 plasma. The arrows indicate the point at which the Ccontent was at steady state. A depth of zero corresponds to the film surface. The Si wafer and film interface is at a depth of approximately 350 Å.

attributed to the increase in C and N content due to the increased incorporation of C- and N-containing species during the CH3NH2 plasma half-cycle. The H content of the film stack also decreases as the plasma power is increased. While working at higher plasma powers initially may seem advantageous for the deposition of SiCxNy films with a high C-content, subsequent analysis shows that the growth regime during the CH3NH2 plasma half-cycle is dependent on the rf power. Growth per Cycle. Figure 10 shows the film thickness of the SiCxNy films at 50, 100, and 200 W of plasma power. Due

Figure 8. Cross-sectional TEM image of (a) SiCxNy film deposited at 400 °C using Si2Cl6 and CH3NH2 plasma and (b) after etching of the film in 1% HF.

over a nanoscale structure with an aspect ratio of ∼5. A comparison of the thickness of the SiCxNy film over the planar surface and at the bottom of the sidewall surface shows a conformality of >95%, which is similar to the high conformality previously reported for SiNx films deposited using chlorosilane precursors and NH3 plasma.10,59 Figure 8b shows the SiCxNy film after immersing the Si wafer for a few seconds into a dilute (1%) aqueous HF solution. The cross-sectional TEM image after etching shows that the sidewalls are etched away completely, while the planar surfaces, including the bottom of the trench, are left mostly intact. The nonisotropic etching of the SiCxNy film is attributed to the directional ion bombardment normal to the surface during the CH3NH2 plasma halfcycle, which preferentially densifies the planar surfaces as compared to the sidewalls. On the basis of these TEM images, we further speculate that the elemental composition of the sidewalls and the planar surface may be different. In particular, we expect the H content of the SiNx film on the sidewalls to be higher than the planar surface. Note, the RBS/HFS measurements were on a planar surface, and the H content may be >20% in the SiCxNy film deposited on the trench sidewalls (Figure 8a). Figure 9 shows a dynamic SIMS depth profile of a stack of SiCxNy films deposited at 50, 100, and 200 W for 100 ALD cycles on a crystalline Si wafer. The transitions in the elemental composition between films deposited at different plasma powers are not sharp due to intermixing with the subsurface layers. Nevertheless, a good estimate of the C-content could be obtained from the flattest region of the elemental depth profile indicated by the arrows in Figure 9. The dynamic SIMS analysis shows that as the rf power is increased from 50 to 200 W during the CH3NH2 plasma half-cycle, the C-content increases gradually from ∼4 to 13%. Therefore, by operating at different plasma powers, it is possible to tailor the C-content of the deposited SiCxNy ALD films for specific applications. It is further observed that the N/Si ratio increases from ∼1.5 to 2.0 as the plasma power is increased from 50 to 200 W. This is

Figure 10. Film thickness for 10 ALD cycles measured using in situ ellipsometry for a SiCxNy film deposited using Si2Cl6 and CH3NH2 plasma at 50, 100, and 200 W of rf power to the CH3NH2 plasma at a substrate temperature of 400 °C. The inset shows a zoomed-in view of three ALD cycles.

to the relatively small differences in C-content between the deposited SiCxNy films, it is difficult to differentiate between the refractive indexes of the SiCxNy films deposited at different plasma powers. Therefore, we assumed that all three SiCxNy films had a refractive index of 1.85. Neglecting changes in the refractive index due to differences in the C-content may lead to slight inaccuracies in the GPC measurement because the refractive index and the film thickness are inter-related while fitting with the Cauchy model, but we expect the inaccuracies in the GPC to be 95% over nanostructures with an aspect ratio of ∼5. In situ four-wavelength ellipsometry shows that at 100 W of CH3NH2 plasma power, the SiCxNy ALD process has a GPC of ∼1.0 Å and a refractive index of ∼1.85. Using SIMS depth profiling, we further show that by changing the plasma power during the CH3NH2 half-cycle, the amount of incorporated C can be modulated from ∼4% at 50 W to ∼13% at 200 W. However, at plasma powers greater than 100 W, the CH3NH2 plasma half-cycle is no longer self-limiting and leads to continuous hydrogenated CNx film growth. At 100 W of CH3NH2 plasma power, a maximum C-content of ∼9% was obtained under self-limiting conditions with a Si:C ratio of ∼3 and a H content of ∼19%.



Figure 11. Temporal evolution during the infrared spectra of the CH3NH2 plasma half-cycle at 200 W. The inset shows integrated absorbance in the ∼1500−1650 cm−1 region corresponding to the >CN− stretching mode. The circled points in the inset correspond to the three infrared spectra.

ASSOCIATED CONTENT

* Supporting Information S

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acs.chemmater.7b01358. Figure S1 shows the temporal evolution of the infrared spectra in the 1450−1750 cm−1 region during the CH3NH2 plasma half-cycle at 50, 100, and 200 W of rf power (PDF)

deposited using Si2Cl6 and CH3NH2 plasma at 100 W to a CH3NH2 plasma at a rf power of 200 W. The last half-cycle in the Si2Cl6 and CH3NH2 plasma ALD process with 100 W rf power was the Si2Cl6 half-cycle. In Figure 11, from the continuous increase in the peak intensity of the infrared features at ∼1600, 2170, 2900, and 3300 cm−1 corresponding to the >CN− stretching,60 Si−NCN−Si stretching,39 −CHx stretching,61 and −NHx stretching modes,33 respectively, it is clear that a CH3NH2 plasma at 200 W deposits a hydrogenated CNx film. This confirms that the increase in the film thickness observed via in situ ellipsometry during the CH3NH2 plasma half-cycle seen in Figure 10 is due to the shift from a self-limiting regime at lower plasma powers to a nonselflimiting regime at higher plasma powers. The inset in Figure 11 shows the integrated absorbance in the ∼1500 to 1650 cm−1 region, corresponding to the >CN− stretching mode,60 as a function of the CH3NH2 plasma duration. This region was chosen because it is representative of a hydrogenated CNx film.24,62,63 The linear increase in integrated absorbance confirms that the CH3NH2 plasma at 200 W continuously deposits a hydrogenated CNx film in a nonself-limiting manner, leading to PECVD of CNx. This transition is most likely due to



AUTHOR INFORMATION

Corresponding Authors

*E-mail: [email protected]. *E-mail: [email protected]. ORCID

Rafaiel A. Ovanesyan: 0000-0002-3264-2687 Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS The authors would like to thank Lam Research Corporation and the Lam Research Foundation for funding this project. 6276

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278

Article

Chemistry of Materials



(21) Bosch, R. H. E. C.; Cornelissen, L. E.; Knoops, H. C. M.; Kessels, W. M. M. Atomic Layer Deposition of Silicon Nitride from Bis(tertiary-butyl-amino)silane and N2 Plasma Studied by in Situ Gas Phase and Surface Infrared Spectroscopy. Chem. Mater. 2016, 28, 5864−5871. (22) Morishita, S.; Sugahara, S.; Matsumura, M. Atomic-layer chemical-vapor-deposition of silicon-nitride. Appl. Surf. Sci. 1997, 112, 198−204. (23) Park, K.; Yun, W.-D.; Choi, B.-J.; Kim, H.-D.; Lee, W.-J.; Rha, S.-K.; Park, C. O. Growth studies and characterization of silicon nitride thin films deposited by alternating exposures to Si2Cl6 and NH3. Thin Solid Films 2009, 517, 3975−3978. (24) Wu, J. J.; Chen, K. H.; Wen, C. Y.; Chen, L. C.; Yu, Y. C.; Wang, C. W.; Lin, E. K. Effect of dilution gas on SiCN films growth using methylamine. Mater. Chem. Phys. 2001, 72, 240−244. (25) Wu, W.-D.; Ni, J.; Wang, X.-M.; Yang, X.-D.; Chen, Z.-M.; Tang, Y.-J. Bonding structure and optical properties of a-CNx:H films deposited in CH4−NH3 system. Vacuum 2009, 83, 1397−1401. (26) Kang, S. J.; Donnelly, V. M. Optical absorption and emission spectroscopy studies of ammonia-containing plasmas. Plasma Sources Sci. Technol. 2007, 16, 265−272. (27) Chabal, Y. J. Surface infrared spectroscopy. Surf. Sci. Rep. 1988, 8, 211−357. (28) Rai, V. R.; Agarwal, S. In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition. J. Vac. Sci. Technol., A 2012, 30, 01A158. (29) Rai, V. R.; Vandalon, V.; Agarwal, S. Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide. Langmuir 2010, 26, 13732− 13735. (30) Rai, V. R.; Agarwal, S. Surface reaction mechanisms during ozone-based atomic layer deposition of titanium dioxide. J. Phys. Chem. C 2008, 112, 9552−9554. (31) Rai, V. R.; Agarwal, S. Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide. J. Phys. Chem. C 2009, 113, 12962−12965. (32) Rai, V. R.; Agarwal, S. Mechanism of Self-Catalytic Atomic Layer Deposition of Silicon Dioxide using 3-Aminopropyl Triethoxysilane, Water, and Ozone. Chem. Mater. 2011, 23, 2312−2316. (33) Lucovsky, G.; Yang, J.; Chao, S. S.; Tyler, J. E.; Czubatyj, W. Nitrogen-Bonding Environments in Glow-Discharge Deposited a-Si-H Films. Phys. Rev. B: Condens. Matter Mater. Phys. 1983, 28, 3234−3240. (34) Peter, S.; Bernütz, S.; Berg, S.; Richter, F. FTIR analysis of aSiCN:H films deposited by PECVD. Vacuum 2013, 98, 81−87. (35) King, S. W.; French, M.; Bielefeld, J.; Lanford, W. A. Fourier transform infrared spectroscopy investigation of chemical bonding in low-k a-SiC:H thin films. J. Non-Cryst. Solids 2011, 357, 2970−2983. (36) Inagaki, N.; Tasaka, S.; Yamada, Y. Plasma polymerization of cyano compounds. J. Polym. Sci., Part A: Polym. Chem. 1992, 30, 2003−2010. (37) Lefohn, A. E.; Mackie, N. M.; Fisher, E. R. Comparison of Films Deposited from Pulsed and Continuous Wave Acetonitrile and Acrylonitrile Plasmas. Plasmas Polym. 1998, 3, 197−209. (38) Lucovsky, G. Chemical Effects on the Frequencies of Si-H Vibrations in Amorphous Solids. Solid State Commun. 1979, 29, 571− 576. (39) Riedel, R.; Greiner, A.; Miehe, G.; Dressler, W.; Fuess, H.; Bill, J.; Aldinger, F. The First Crystalline Solids in the Ternary Si-C-N System. Angew. Chem., Int. Ed. Engl. 1997, 36, 603−606. (40) Bulou, S.; Le Brizoual, L.; Miska, P.; de Poucques, L.; Hugon, R.; Belmahi, M.; Bougdira, J. The influence of CH4 addition on composition, structure and optical characteristics of SiCN thin films deposited in a CH4/N2/Ar/hexamethyldisilazane microwave plasma. Thin Solid Films 2011, 520, 245−250. (41) Awad, Y.; El Khakani, M. A.; Scarlete, M.; Aktik, C.; Smirani, R.; Camiré, N.; Lessard, M.; Mouine, J. Structural analysis of silicon carbon nitride films prepared by vapor transport-chemical vapor deposition. J. Appl. Phys. 2010, 107, 033517.

REFERENCES

(1) King, S. W. Dielectric Barrier, Etch Stop, and Metal Capping Materials for State of the Art and beyond Metal Interconnects. ECS J. Solid State Sci. Technol. 2015, 4, N3029−N3047. (2) Maex, K.; Baklanov, M. R.; Shamiryan, D.; Iacopi, F.; Brongersma, S. H.; Yanovitskaya, Z. S. Low dielectric constant materials for microelectronics. J. Appl. Phys. 2003, 93, 8793−8841. (3) Wang, T. C.; Cheng, Y. L.; Wang, Y. L.; Hsieh, T. E.; Hwang, G. J.; Chen, C. F. Comparison of characteristics and integration of copper diffusion-barrier dielectrics. Thin Solid Films 2006, 498, 36−42. (4) Chang, S. Y.; Lee, Y. S.; Lu, C. L. Effect of plasma treatments on the interface chemistry and adhesion strength between Cu metallization and SiCN etch stop layer. J. Electrochem. Soc. 2007, 154, D241−D248. (5) Koehler, F.; Triyoso, D. H.; Hussain, I.; Antonioli, B.; Hempel, K. Challenges in spacer process development for leading-edge high-k metal gate technology. Phys. Status Solidi C 2014, 11, 73−76. (6) George, S. M. Atomic Layer Deposition: An Overview. Chem. Rev. 2010, 110, 111−131. (7) Miikkulainen, V.; Leskela, M.; Ritala, M.; Puurunen, R. L. Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends. J. Appl. Phys. 2013, 113, 021301. (8) Profijt, H. B.; Potts, S. E.; van de Sanden, M. C. M.; Kessels, W. M. M. Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges. J. Vac. Sci. Technol., A 2011, 29, 050801. (9) Klaus, J. W.; Ott, A. W.; Dillon, A. C.; George, S. M. Atomic layer controlled growth of Si3N4 films using sequential surface reactions. Surf. Sci. 1998, 418, L14−L19. (10) Ovanesyan, R. A.; Hausmann, D. M.; Agarwal, S. LowTemperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma. ACS Appl. Mater. Interfaces 2015, 7, 10806−10813. (11) Knoops, H. C. M.; de Peuter, K.; Kessels, W. M. M. Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time. Appl. Phys. Lett. 2015, 107, 014102. (12) Knoops, H. C. M.; Braeken, E. M. J.; de Peuter, K.; Potts, S. E.; Haukka, S.; Pore, V.; Kessels, W. M. M. Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma. ACS Appl. Mater. Interfaces 2015, 7, 19857−19862. (13) Andringa, A. M.; Perrotta, A.; de Peuter, K.; Knoops, H. C. M.; Kessels, W. M. M.; Creatore, M. Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers. ACS Appl. Mater. Interfaces 2015, 7, 22525−22532. (14) Suh, S.; Ryu, S. W.; Cho, S.; Kim, J.-R.; Kim, S.; Hwang, C. S.; Kim, H. J. Low-temperature SiON films deposited by plasmaenhanced atomic layer deposition method using activated silicon precursor. J. Vac. Sci. Technol., A 2016, 34, 01A136. (15) Jang, W.; Jeon, H.; Song, H.; Kim, H.; Park, J. The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer. Phys. Status Solidi A 2015, 212, 2785−2790. (16) Jang, W.; Jeon, H.; Kang, C.; Song, H.; Park, J.; Kim, H.; Seo, H.; Leskela, M.; Jeon, H. Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition. Phys. Status Solidi A 2014, 211, 2166−2171. (17) Weeks, S.; Nowling, G.; Fuchigami, N.; Bowes, M.; Littau, K. Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane. J. Vac. Sci. Technol., A 2016, 34, 01A140. (18) King, S. W. Plasma enhanced atomic layer deposition of SiNx:H and SiO2. J. Vac. Sci. Technol., A 2011, 29, 041501. (19) Ovanesyan, R. A.; Hausmann, D. M.; Agarwal, S. Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics. J. Vac. Sci. Technol., A 2017, 35, 021506. (20) Yokoyama, S.; Goto, H.; Miyamoto, T.; Ikeda, N.; Shibahara, K. Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy. Appl. Surf. Sci. 1997, 112, 75−81. 6277

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278

Article

Chemistry of Materials

(62) Okada, T.; Yamada, S.; Takeuchi, Y.; Wada, T. Formation of carbon nitride films with high N/C ratio by high-pressure radio frequency magnetron sputtering. J. Appl. Phys. 1995, 78, 7416−7418. (63) Hammer, P.; Baker, M. A.; Lenardi, C.; Gissler, W. Synthesis of carbon nitride films at low temperatures. J. Vac. Sci. Technol., A 1997, 15, 107−112.

(42) Dai, M.; Wang, Y.; Kwon, J.; Halls, M. D.; Chabal, Y. J. Nitrogen interaction with hydrogen-terminated silicon surfaces at the atomic scale. Nat. Mater. 2009, 8, 825−830. (43) Colaianni, M. L.; Chen, P. J.; Gutleben, H.; Yates, J. T. Vibrational studies of CH3I on Si(100)-(2 × 1): adsorption and decomposition of the methyl species. Chem. Phys. Lett. 1992, 191, 561−568. (44) Tsu, D. V.; Lucovsky, G.; Mantini, M. J. Local atomic structure in thin films of silicon nitride and silicon diimide produced by remoteplasma-enhanced chemical-vapor deposition. Phys. Rev. B: Condens. Matter Mater. Phys. 1986, 33, 7069−7076. (45) Murray, C. A.; Elliott, S. D.; Hausmann, D.; Henri, J.; LaVoie, A. Effect of Reaction Mechanism on Precursor Exposure Time in Atomic Layer Deposition of Silicon Oxide and Silicon Nitride. ACS Appl. Mater. Interfaces 2014, 6, 10534−10541. (46) Mei, J. J.; Chen, H.; Shen, W. Z.; Dekkers, H. F. W. Optical properties and local bonding configurations of hydrogenated amorphous silicon nitride thin films. J. Appl. Phys. 2006, 100, 073516. (47) Bustarret, E.; Bensouda, M.; Habrard, M. C.; Bruyere, J. C.; Poulin, S.; Gujrathi, S. C. Configuration statistics in a-SiCxNyHz alloys: A quantative bonding analysis. Phys. Rev. B: Condens. Matter Mater. Phys. 1988, 38, 8171−8184. (48) Begue, D.; Qiao, G. G.; Wentrup, C. Nitrile Imines: Matrix Isolation, IR Spectra, Structures, and Rearrangement to Carbodiimides. J. Am. Chem. Soc. 2012, 134, 5339−5350. (49) Riedel, R.; Kroke, E.; Greiner, A.; Gabriel, A. O.; Ruwisch, L.; Nicolich, J.; Kroll, P. Inorganic Solid-State Chemistry with Main Group Element Carbodiimides. Chem. Mater. 1998, 10, 2964−2979. (50) Rivillon, S.; Amy, F.; Chabal, Y. J.; Frank, M. M. Gas phase chlorination of hydrogen-passivated silicon surfaces. Appl. Phys. Lett. 2004, 85, 2583−2585. (51) Liao, L.-F.; Wu, W.-C.; Chuang, C.-C.; Lin, J.-L. FTIR Study of Adsorption and Reactions of Methylamine on Powdered TiO2. J. Phys. Chem. B 2001, 105, 5928−5934. (52) Kim, J.; Jung, D.; Park, Y.; Kim, Y.; Moon, D. W.; Lee, T. G. Quantitative analysis of surface amine groups on plasma-polymerized ethylenediamine films using UV−visible spectroscopy compared to chemical derivatization with FT-IR spectroscopy, XPS and TOF-SIMS. Appl. Surf. Sci. 2007, 253, 4112−4118. (53) Park, S. S.; Chu, S.-W.; Xue, C.; Zhao, D.; Ha, C.-S. Facile synthesis of mesoporous carbon nitrides using the incipient wetness method and the application as hydrogen adsorbent. J. Mater. Chem. 2011, 21, 10801−10807. (54) Lee, W. J.; Lee, J. H.; Park, C. O.; Lee, Y. S.; Shin, S. J.; Rha, S. K. A Comparative Study on the Si Precursors for the Atomic Layer Deposition of Silicon Nitride Thin Films. J. Korean Phys. Soc. 2004, 45, 1352−1355. (55) Yokoyama, S.; Ikeda, N.; Kajikawa, K.; Nakashima, Y. Atomiclayer selective deposition of silicon nitride on hydrogen-terminated Si surfaces. Appl. Surf. Sci. 1998, 130, 352−356. (56) Colaux, J. L.; Jeynes, C. High accuracy traceable Rutherford backscattering spectrometry of ion implanted samples. Anal. Methods 2014, 6, 120−129. (57) Goto, H.; Shibahara, K.; Yokoyama, S. Atomic layer controlled deposition of silicon nitride with self-limiting mechanism. Appl. Phys. Lett. 1996, 68, 3257−3259. (58) Riley, F. L. Silicon nitride and related materials. J. Am. Ceram. Soc. 2000, 83, 245−265. (59) Triyoso, D. H.; Hempel, K.; Ohsiek, S.; Jaschke, V.; Shu, J.; Mutas, S.; Dittmar, K.; Schaeffer, J.; Utess, D.; Lenski, M. Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration. ECS J. Solid State Sci. Technol. 2013, 2, N222−N227. (60) Ferrari, A. C.; Rodil, S. E.; Robertson, J. Interpretation of infrared and Raman spectra of amorphous carbon nitrides. Phys. Rev. B: Condens. Matter Mater. Phys. 2003, 67, 155306. (61) Gutleben, H.; Lucas, S. R.; Cheng, C. C.; Choyke, W. J.; Yates, J. T. Thermal stability of the methyl group adsorbed on Si(100): CH3I surface chemistry. Surf. Sci. 1991, 257, 146−156. 6278

DOI: 10.1021/acs.chemmater.7b01358 Chem. Mater. 2017, 29, 6269−6278