Block Copolymer Lithography - Macromolecules (ACS Publications)

Nov 7, 2013 - Kinetics of Domain Alignment in Block Polymer Thin Films during Solvent Vapor Annealing with Soft Shear: An in Situ Small-Angle Neutron ...
1 downloads 18 Views 2MB Size
Perspective pubs.acs.org/Macromolecules

Block Copolymer Lithography Christopher M. Bates,† Michael J. Maher,† Dustin W. Janes,‡ Christopher J. Ellison,‡ and C. Grant Willson*,†,‡ †

Department of Chemistry, The University of Texas at Austin, Austin, Texas 78712, United States McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712, United States



ABSTRACT: This Perspective addresses the current state of block copolymer lithography and identifies key challenges and opportunities within the field. Significant strides in experimental and theoretical thin film research have nucleated the transition of block copolymers “from lab to fab”, but outstanding questions remain about the optimal materials, processes, and analytical techniques for first-generation devices and beyond. Particular attention herein is focused on advances and issues related to thermal annealing. Block copolymers are poised to change the traditional lithographic resolution enhancement paradigm from “top-down” to “bottom-up”.

T

However, the principles addressed herein are broad and could impact many other fields, such as ultrafiltration membranes,13 that seek to leverage nanometer-sized pores for controlled separations.

he microelectronics industry constantly strives to increase the speed of microprocessors and the storage density of hard disk drives. Historically, the number of transistors on a computer chip has approximately doubled every 18 monthsa trend known as “Moore’s law”.1 Photolithography, the traditional patterning methodology used to fabricate these devices, has become prohibitively expensive.2 For example, exposure tool costs have increased exponentially; nextgeneration extreme ultraviolet prototype tools are reported to currently cost at least $125 million, and state-of-the-art fabrication facilities cost several billions of dollars.3 Alternative patterning technologies that enable high-resolution and highthroughput at lower cost must be developed if the semiconductor manufacturers are to continue their historical pace of “smaller, faster, cheaper”. Block copolymers (BPs) offer an attractive alternative patterning technology since they can self-assemble on length scales from a few to hundreds of nanometers.4 Bulk selfassembled morphologies include lamellae, hexagonally closepacked cylinders, spheres, and gyroid networks. Three synthetically controlled variables determine the bulk morphology: the overall degree of polymerization (N), the block−block interaction parameter(s) (χ), and the relative volume fraction of each block (fA, f B, ..., f i). χ is a key material characteristic that is controlled by judicious selection of the block chemistry, where highly incompatible blocks have large values of χ. The goal of this Perspective is not to provide a comprehensive review of the BP lithography literature (which can be found elsewhere5,6) but to identify key opportunities and challenges within the field. Many arguments presented herein are couched in terms of χ, since this material property will very likely play an increasingly important role in BP lithography. A partial list of potential BP lithography insertion points includes integrated circuit line-space patterns,7,8 next-generation magnetic storage (“bit-patterned media”),9−11 and contact hole shrink.12 © XXXX American Chemical Society

I. BACKGROUND Orientation and Alignment. Control of BP thin film domain orientation (relative to the plane of the substrate) and alignment (the in-plane directionality) are crucial for the aforementioned applications. A perpendicular orientation of lamellae or cylinders is usually desirable and requires nonpreferential (“neutral”) interfaces. (Parallel cylinders and spheres do not require orientation control and produce patterns similar to perpendicular lamellae and cylinders, respectively. However, thin film structures derived from spheres and parallel cylinders suffer from through-film nonuniformity that likely complicates processing.) Substrate surface modification techniques that balance the interfacial interactions between each block and the substrate have been widely employed to generate a neutral bottom interface. Common neutral materials are immobilized random copolymers14−16 composed of the constituents of the BP 17 or other monomers.18,19 Alignment of BP domains is achieved with “directed self-assembly” (DSA) using chemical20−22 or physical23−25 preformed patterns. A recent Perspective provides detailed insight into recent trends in DSA research.26 BP thin film self-assembly, orientation, and alignment occur during thermal or solvent annealing. Thermal annealing, when possible, is preferred because it can rapidly (on time scales compatible with industrial processes) access thermodynamically Received: August 22, 2013 Revised: October 16, 2013

A

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

favored morphologies, orientations, and alignments.27 Additionally, thermal annealing equipment is ubiquitous in industry, there is no waste stream, and production quality solvent annealing tools are commercially unavailable. This Perspective thus focuses on thermal annealing materials and processes. Readers interested in solvent annealing are referred to an excellent recent Perspective.28 PS-b-PMMA: The Current Standard. Poly(styrene-blockmethyl methacrylate) (PS-b-PMMA) is the current industry standard for BP lithography. At about 225 °C the interfacial interactions at the free surface of this BP are balanced,29 which enables a perpendicular orientation of domains when annealed on a neutral substrate surface.30 Unfortunately, a relatively low χ limits the resolution of domains to ca. 12 nm.31,32 Studies on PS-b-PMMA have provided a detailed understanding of BP thin film physics, material design, and processing. Significant progress has been made toward production of a first generation of devices based on PS-b-PMMA, but to date no commercial products are known to be manufactured using this material. New materials are likely required for second-generation devices and beyond.

dimethylsiloxane-block-lactide) and estimated a minimum full pitch ca. 7 nm.36 Kennemur et al. demonstrated lamellae with a 14 nm pitch using poly(4-tert-butylstyrene-block-methyl methacrylate).37 Commercially available BPs that can self-assemble into sub-10 nm domains include poly(styrene-block-dimethylsiloxane) (PS-b-PDMS),38,39 poly(styrene-block-2-vinylpyridine) (PS-b-P2VP),40 and poly(styrene-block-DL-lactide) (PS-bPLA).19 Measurement. The temperature dependence of χ is empirically represented by χ = α/T + β (α and β are constants and T is temperature). A thorough thermodynamic treatment of χ is provided elsewhere.41,42 Multiple experimental methods can be used to measure χ, including absolute intensity smallangle X-ray scattering (SAXS),31 small-angle neutron scattering (SANS),32 rheology,43,44 and homopolymer blends.45 These measurement techniques may yield different values of χ for a given material. For instance, there are well-documented differences between the χ calculated from homopolymer blends and the homologous BP.46 Additional factors that can subtly influence the measured value of χ include deuteration (for SANS measurement contrast)47 and/or variations in block dispersities, block volume fractions, and sample molecular weights. Care must also be taken when comparing values of χ. The calculation involves N, which is dependent upon an arbitrary reference volume (Vref) often, but not always, 118 Å3. Therefore, the value of χ is artificially skewed by choice of Vref; accurate comparisons of χ must be made with constant Vref. A survey of literature-reported PS-b-PMMA χ values measured using various experimental protocols and corrected to a common reference volume yielded reasonably good agreement between data sets, especially when discounting blend studies.31 Segregation Strength. The segregation strength (χN) significantly impacts BP self-assembly. Disordered BPs (χN ≪ 10.5) are not useful as patterning materials for lithographic applications. Self-assembled symmetric BPs fall roughly into two segregation regimes: the strong segregation limit (SSL, χN ≫ 10.5) and weak segregation limit (WSL, χN ≈ 10.5) (certainly, many materials fall somewhere within the intermediate “gray” area). Figure 2 shows theoretical SSL and

II. CHALLENGES Many material and engineering challenges remain before BPs can be fully exploited for technological applications. Key areas are identified and discussed with respect to remaining opportunities within the field. The Importance of χ. Resolution. Resolution is principally controlled by the degree of polymerization (N) and by χ. Since the domain periodicity (L0) scales as N2/3χ1/6 in the strong segregation limit (SSL, χN ≫ 10.5) and N1/2 in the weak segregation limit (WSL, χN ≈ 10.5), higher-χ BPs can selfassemble into higher resolution (smaller) domains. (Important differences between the SSL and WSL are described in detail below.) Increasing χ and decreasing N maintains an ordered morphology (for symmetric diblocks, χN > 10.5) and reduces the periodicity. Impressive resolution has been reported with a variety of BPs. Park et al. described 3 nm cylindrical domains with salt-complexed poly(styrene-block-ethylene oxide).33 Cushen et al. reported oligosaccharide/silicon-containing BPs self-assembled into 5 nm domains34 (Figure 1) and poly(4trimethylsilylstyrene-block-DL-lactide) with sub-10 nm domains.35 Rodwogin et al. synthesized poly(lactide-block-

Figure 2. Theoretical local (φA) and stoichiometric (f) A-block volume fractions as a function of position (r) with periodicity L0.

WSL composition profiles.4 BPs in the SSL are characterized by narrow interfaces with widths (ai) that scale as χ−1/2. A high χ is thus important to produce narrow interfaces. For instance, bulk lamella-forming poly(methyl methacrylate-block-n-propyl methacrylate) (χ ≈ 0.063) is characterized by a 4.4 nm apparent

Figure 1. Atomic force micrograph of maltoheptaose-block-poly(4trimethylsilylstyrene) self-assembled into 5 nm domains. Reproduced with permission from ref 34. B

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

The expected correlation between LER and χN is properly reflected in the measured LER values, although contributions arising from differences in experimental methodology cannot be discounted.53 Molecular scale (i.e., exceedingly high frequency) interfacial roughness (that scales as χ−1/2 in the SSL and manifests as diffuse interfaces in the WSL) is expected to influence lower frequency LER and LWR, but the topic is still relatively unexplored. The transition from SSL to WSL is not crisply defined; the χN where negative effects on BP lithography are expected to occur (or if they will at all) is currently unclear. The combined effects of interfacial thickness (ai ∼ χ−1/2), L0 ∼ Nδ where 1/2 < δ < 2/3 and (χN)ODT = constant, suggest that ai and L0 will decrease similarly as χ is increased. However, the approximations inherent in the Helfand scaling of ai will break down as the interfacial thickness approaches the polymer segment length.55 The influence of thin film interfaces on these issues remains an outstanding question, but it would not be surprising if significant perturbations arise. For instance, the bulk order− disorder transition temperature (TODT) is significantly reduced in very thin films approaching one periodicity in thickness.56 Clearly efforts to identify higher and higher χ systems are warranted. However, demonstration of a high χ is necessary but may not be sufficient for lithographic applications. Achievement of a high χ must be balanced with the ability to control thin film domain orientation and alignment, potential negative effects of reducing segregation strength, and possible defectivity (vide inf ra). The highest resolution may in fact be limited by both χ and the minimum realistic χN that produces acceptable thin film structures. Block Architecture. Block architecture will likely play an increasingly important role in BP lithography. While most lithographic BP research to date has focused on AB diblocks and ABA triblocks, there is effectively no limit to the possible number of blocks.41 Even ABA triblocks and their homologous AB diblocks exhibit potentially significant differences.57,58 Within mean-field theory, symmetric AB diblocks order when χN > 10.5, while ABA triblocks order when χN > 9. ABA triblocks have larger bulk equilibrium domain spacing at all χN than their homologous AB diblocks (Figure 4a) but exhibit narrower interfaces (Figure 4b). These differences, while subtle, become increasingly pronounced at small χN, the region of phase space that plays such an important role for lithographic applications. Additionally, there is experimental59 and theoretical60 evidence that ABA triblocks are easier than AB diblocks to orient perpendicular to a substrate. ABA triblocks can also apparently accommodate a larger mismatch between L0 and an underlying chemical pattern than analogous AB diblocks.61 Consideration of increasingly complex block architectures (ABC triblocks, star copolymers, etc.) to access additional morphologies62 or circumvent physical limitations with simpler materials remains a largely unexplored option. The potential utility of multiblock copolymers for lithography remains to be seen. Dispersity. The control of molecular mass and composition dispersity has long been considered a necessary prerequisite for the production of materials with well-defined properties, although this historical paradigm is changing.63 A central unanswered question within the lithography community involves the role of dispersity on production-grade materials applicable to high-precision processes with demanding defectivity requirements. The influence of dispersity on the self-assembly of bulk materials is marginally understood.

interfacial width (ai‑app), while poly(methyl methacrylate-blockn-pentyl methacrylate) (χ ≈ 0.12) has an ai‑app of 3.0 nm.48 The WSL could pose a significant problem for the lithography community. Materials in the WSL are valuable because they achieve the highest resolution (since they have the smallest N). However, self-assembled patterns in the WSL and SSL differ significantly. The WSL is characterized by sinusoidal composition variations that are broad and diffuse. These illdefined interfaces may negatively impact alignment, defectivity, and pattern transfer, which will be discussed in detail below. Composition fluctuation effects very near the order−disorder transition (ODT) may compound these difficulties.49 The segregation regime impacts both line edge roughness (LER) and line width roughness (LWR). Simulations by Bosse50 in the WSL have demonstrated long wavelength LER and LWR that are a function of both the segregation strength (relative to χNODT) and thermal noise (Figure 3). External

Figure 3. Impact of segregation strength and thermal noise on LER (⟨σh⟩) and LWR (⟨σw⟩). The data were derived from Bosse.50

fields such as DSA chemical guiding patterns are predicted to partially suppress LER (and to a lesser extent LWR), with a magnitude that depends upon the strength of the preferential chemical interaction.51 These results are rather intuitive but highlight the importance of controllable material properties and processing conditions. Low-frequency LER values reported with lamella-forming PS-b-PMMA include 2.2 nm (L0 = 48 nm),52 3.3 nm (L0 = 46 nm),53 and 4.5 nm (L0 = 28.5 nm).54 C

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

Figure 4. (a) Normalized domain spacing (D*/aN1/2) and (b) normalized interfacial width (w/aN1/2) of a fA = 0.5 lamellar morphology as a function of χN for a triblock (solid curve) with degree of polymerization 2N and a diblock (dashed curve) with degree of polymerization N. Reproduced with permission from ref 57. Copyright 1999 American Institute of Physics.

bility must be minimized and accurate analytical techniques capable of detecting low quantities of polymeric impurities are critical for lithographic-quality materials.74 Top Interface Control. Control of the top interface is crucial for the thermal formation of perpendicular domains. Annealed in air or vacuum, BPs minimize surface energy by segregating the low surface energy block to the free surface to from so-called “wetting layers”.29,75−78 These wetting layers induce a parallel orientation in the vicinity of the free surface or, in some cases, through the entire film thickness. Solvent annealing was in part developed in an effort to circumvent the formation of wetting layers generated by thermal annealing.28 However, recent literature demonstrates that perpendicular orientation of high-χ BPs is indeed possible by thermal annealing. Two classes of materials are amenable to thermal annealing: (1) BPs that exhibit nonpreferential free surface interactions at elevated temperature(s) and (2) BP thin films that are modified with top coats. Inherently nonpreferential free surface interactions with AB diblock and ABA triblock copolymers are relatively rare and difficult to design a priori. Several examples include PS-bPMMA, PS-b-PLA,19 poly(cyclohexylethylene-block-ethyleneblock-cyclohexylethylene),59 and poly(ethylene glycol-blockfluorinated methacrylate).79 Recent work by Kim et al.80 demonstrated a rational BP design methodology to achieve nonpreferential free surfaces. Postpolymerization modification of an AB diblock produced a poly[A-block-(B-random-C)] architecture that effectively decoupled bulk and thin film thermodynamics. Appropriate selection of A, B, and C chemistries enabled near sub-10 nm resolution. This strategy holds great promise for the design and application of future BPs. BPs that exhibit strongly preferential free surface interactions can be oriented with top interface functionalization. Solutionprocessable top coats that are compatible with existing manufacturing infrastructure are particularly attractive. Top coats for BP orientation control were first attempted in 1998 for PS-b-PMMA81 (which does not require a top coat) and have been widely utilized in 193 nm immersion lithography to protect photoresists.2 Recent work by Bates et al. detailed the use of solution-processable top coats (Figure 5) that enabled perpendicular orientations of poly(styrene-block-4-trimethylsilylstyrene-block-styrene) and poly(4-trimethylsilylstyrene-blockDL-lactide) that otherwise orient parallel due to Si-containing wetting layers.82 Top coat application by spin-coating and neutralization upon thermal annealing was accomplished by a

Continuously disperse blocks can significantly dilate domain periodicities64,65 and shift phase diagram boundaries,66 although not always.67 The combined effects of molecular mass and composition dispersity in thin films appear to reflect bulk observations. PS-b-PMMA (with continuously disperse PMMA)68 and poly(methyl methacrylate-block-butyl acrylate) (with continuously disperse PBA)69 exhibited dilated domain periodicities and shifted phase boundaries. Qualitatively high levels of defectivity were observed with disperse PS-b-PMMA, especially compared to analogous monodisperse PS-b-PMMA. Increased defectivity was partially ascribed to dispersity-induced stabilization of otherwise metastable thin film structures and will significantly hamper application to lithographic processes. Few studies have systematically decoupled molecular mass and composition dispersity in thin films. Discrete distributions produced with polymer blends can isolate the impact of either type of dispersity. Multimodal binary blends of nearly symmetric PS-b-PMMA elucidated the influence of molecular mass dispersity on DSA with chemical prepatterns.70 A single thin film effective periodicity was modulated as a function of blend volume fraction, but acceptable alignment occurred with a reduced range of L0 values (relative to the prepattern periodicity) compared to unblended BP. Studies utilizing BP blends with varying composition at constant molecular weight are apparently unreported in thin films. However, bulk twocomponent blends of cylinder-forming poly(styrene-blockbutadiene) with opposite minority blocks (i.e., BP that formed polystyrene cylinders mixed with BP that formed polybutadiene cylinders) produced a lamellar morphology.71 Similar behavior in thin films would not be surprising. Comments regarding the influence of thin film composition dispersity on alignment, the block−block interface, and defectivity would be highly speculative in nature. Interestingly, BP/homopolymer ternary blends (representative of discrete dispersity in both molecular mass and composition) can stabilize alignment with drastically irregular chemical prepatterns at modest homopolymer content.22 Coupled with blend-induced periodicity modulation72 and/or advanced material deposition techniques,73 this strategy offers powerful potential to pattern multiple device structures simultaneously on a single layer. Careful consideration of synthetic methodologies, polymerization byproducts, and BP formulation are thus critical considerations for the lithography industry. The tolerance industrial-scale processes and materials have toward dispersity is currently unclear. Perhaps dispersity-induced effects will even be leveraged advantageously. Regardless, batch-to-batch variaD

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

trench walls. While not ideal for lithographic applications, these studies offer important insight into the sources of defectivity and promising defect analysis techniques. Mishra et al.56,88 and Hammond et al.89 reported defect density sensitivity to BP periodicity, film thickness, and annealing temperature. BPs with smaller periodicity (smaller N) exhibited a larger suppression of the bulk TODT that manifested as higher defectivity at lower annealing temperatures. An analogous TODT suppression occurred with monolayer (and to a lesser extent bilayer) thick films. In general, lower annealing temperatures produced alignment with fewer defects. These observations suggest that higher-χ BPs should form fewer defects at a given film thickness and annealing temperature. An initial medium-scale defectivity study on graphoepitaxially aligned PS-b-PMMA has been reported with prepatterned hole arrays for contact hole shrink applications.7 Analytical techniques were introduced to measure BP feature size uniformity, centroid position, and defectivity (missing features), but further process optimization is necessary. A comprehensive understanding of defectivity levels spanning different BPs, epitaxial techniques, and processing conditions is currently incomplete. The dynamics of defect annihilation remain relatively unexplored but are critically important for lithographic applications. Factors that influence the kinetics include the substrate surface (chemically homogeneous versus prepatterned), time, temperature, and likely χ. Perpendicular orientations of PS-b-PTMSS-b-PS82 and PS-b-PMMA can be realized in 1 min or less on chemically homogeneous substrates. While the defectivity levels were not rigorously quantified, they are qualitatively high. In contrast, chemoepitaxially aligned PSb-PMMA annealed for 1 min (lab scale)27 or 5 min (full wafer)7 exhibits markedly improved defectivity compared to chemically homogeneous substrates. Real-time evaluation of graphoepitaxial defect migration dynamics have been described,90 but no large-scale studies on defect annihilation kinetics are currently available. The need for through-film defectivity analysis is exemplified by Liu et al. with PS-b-PMMA on near neutral surface treatments (Figure 6a).91 Small-area cross sections demonstrate subsurface defectivity that is not observed at the free surface. These observations are consistent with thick PS-b-PTMSS-b-PS

Figure 5. Schematic of the solution-processable top coat process used to thermally produce a perpendicular orientation of BP domains.

polarity switch that leveraged the ring-opening and -closing reactions of a maleic anhydride moiety. The ring-opened polar form of the anhydride facilitated application of the top coats from a polar solvent that did not damage the BP film. Subsequent thermally induced ring closure produced a less polar material that was nonpreferential toward the BPs. Comonomers were utilized to fine-tune the interfacial energy and produce high glass transition (Tg) materials. The top coats were compatible with solvent- or etch-based removal. Annealing times were as low as 1 min and the equipment used in the process (a spin coater, hot plate, and reactive ion etcher) are currently used in high volume manufacturing. Top coat processes involving “floating” and printing methods have also been successfully demonstrated40 for PS-b-P2VP but are challenging to implement on a large scale. Control of the top interface is now possible, but every BP and every new volume fraction of a given BP require a carefully matched top coat. Identifying these materials and tuning them is currently a tedious and time-consuming undertaking. As χ increases, orientation becomes significantly more sensitive to variance in annealing conditions, BP composition, and/or top coat composition. High aspect ratio, through-film, perpendicular orientation of high-χ materials via top interface control remains one of the ultimate goals in this field. Defectivity. Asymptotically low levels of defectivity including pattern imperfections, LER, LWR, and through-film consistency are required for microelectronics applications.6 Critical questions about defect levels in DSA include the following: (1) What is the thermodynamic minimum level of defectivity in the BP self-assembly process? (2) What is the time scale associated with defect annihilation? (3) What is the through-film defectivity over macroscopic areas? (4) What is the preferred metrology to evaluate defects? (5) How does material selection impact defectivity? Most detailed line-space defectivity analysis to date has been performed on lamellaforming PS-b-PMMA aligned chemoepitaxially and cylinderforming PS-b-P2VP aligned graphoepitaxially. Contact hole shrink applications have begun to investigate cylinder-forming PS-b-PMMA aligned graphoepitaxially. Chemoepitaxy DSA thermodynamics appear to be highly favorable. Calculations by Nagpal et al. reveal a remarkably large energetic driving force for eliminating defects in the presence of chemical guiding patterns.83 Full wafer defect analysis has not yet demonstrated the required levels of defectivity.7,84 However, most of the defects appear to be generated from sources other than the BP self-assembly.85 Labscale analysis of BP-prepattern overlay indicated low levels of placement error.86,87 Graphoepitaxy DSA defectivity studies of line-space patterns have mainly utilized PS-b-P2VP cylinders confined in a trench oriented parallel to both the substrate and

Figure 6. (a) Cross-section SEM of PS-b-PMMA chemoepitaxially aligned with 2× density multiplication using various surface treatments with different polystyrene content. Reproduced with permission from ref 91. (b) Top-down SEM of 3.2L0 (96 nm) thick PS-b-PTMSS-b-PS (L0 = 30 nm) oriented with a near-neutral top coat. (c) Cross-section SEM corresponding with (b) (courtesy of Hiroshi Yoshida). E

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

Figure 7. SEM images of a PS-b-P2VP thin film surface before (a, plane-view; c, 60° tilt view) and after 15 s of cryo-ICP etching (b, plane-view; d, 60° tilt view). Inset image in (d) is a magnified image. Reproduced with permission from ref 101. Copyright 2012 Wiley-VCH.

films oriented with a near-neutral top coat. Top-down scanning electron microscopy (SEM) shows well-oriented lamellae (Figure 6b), but cross-section SEM reveals some subsurface bifurcation and line broadening (Figure 6c). (It is not surprising that thick samples oriented but not epitaxially aligned exhibit some through-film defectivity, which is anticipated to improve with DSA.83) Unfortunately, throughfilm defectivity has received little attention in the literature due to the difficulty of cross-sectioning and the lack of available large-area metrology tools. Quantifying subsurface defects over macroscopic areas continues to represent a difficult yet critical challenge. Stein et al. measured chemically aligned PS-b-PMMA tilt angles ca. 1°−2° with soft X-ray diffraction,53 and Perera et al.92 observed deformation of BP domain shapes near chemical patterns with transmission small-angle X-ray diffraction.92 These techniques have not yet been applied to large-scale manufacturing processes. Evaluating through-film BP selfassembly by pattern transfer and subsequent pattern inspection is possible but indirect. The convolution of the pattern transfer process obfuscates sources of error. Significant strides must be made in defectivity analysis. A general understanding of aligned BP thin film defectivity levels is currently lacking. How the measured and calculated sources of defects change as a function of BP material and epitaxy are largely unresolved. The single biggest obstacle for industrial application appears to be large scale metrology to definitively evaluate the ultralow levels of defectivity required in industry. Literature evidence suggests that kinetic limitations with respect to morphological evolution and defect annihilation will not be limiting factors in chemoepitaxy DSA processes. The similarity to graphoepitaxy is still unknown. An increased

understanding of the effects of χ and χN on defectivity levels will be necessary for the extension of BP lithography to materials beyond the capabilities of PS-b-PMMA. Pattern Transfer. Lithographic applications of selfassembled BP patterns require transferring the patterns into a functional material. Reactive ion (dry) etching (RIE) is a process commonly used to accomplish image transfer in the microelectronics industry; hence, the use of RIE to both generate the relief image and to transfer it into the substrate is an attractive process option (wet development is discussed elsewhere93). Therefore, one block must be selectively removed with RIE, and the remaining block must resist a subsequent etch (with the same or different plasma chemistry) to transfer the physical pattern into the underlying substrate. Readers interested in a detailed review on BP pattern transfer are referred to Gu et al.94 PS-b-PMMA has historically had low95 etch selectivity ≈2, although recent reports have shown improvement.96 Hard mask etch transfer processes may mitigate low etch selectivity between BP domains but require additional processing step(s) and optimization.84 Much attention has thus focused on increasing the etch rate difference between blocks. Two clear strategies have emerged: (1) enhancing etch selectivity via selective segregation and (2) synthesizing inherently etchresistant BPs. Preferential infusion of metal into one BP domain can improve etch contrast as first demonstrated with poly(styreneblock-isoprene).97 Single-block modification with OsO4 enabled pattern transfer into SiN. Block-selective atomic layer deposition of trimethylaluminum into PMMA imbues PS-bPMMA with additional etch contrast.98,99 Surface reconstrucF

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

tion can produce sufficient etch contrast by replacing one block domain with void space (or a low-density solvent-swollen BP domain). Very thin surface-reconstructed BP patterns have produced deep trenches after pattern transfer with100 or without101 additional metal deposition (Figure 7). A second common strategy is the design of BPs with one inherently etch-resistant block. Typically, an inorganic component is introduced into one monomer before polymerization. This method offers some potentially significant advantages since it reduces costly and time-consuming processing steps. Si- and Fe-containing blocks [such as poly(dimethylsiloxane) and poly(ferrocenyldimethylsilane)] are often used to facilitate the patterning of various substrates.102−106 Possible concerns about cost, synthetic difficulty, and low Tg have spurred the investigation of alternative BPs. Polymers containing poly(trimethylsilylisoprene) 107 or poly(4-trimethylsilylstyrene)34,35,82,108 blocks are amenable to orientation and exhibit RIE etch contrast to facilitate pattern transfer. Both etch selectivity strategies have potential drawbacks. Segregation can occur nonuniformly, alter domain sizes, and induce defectivity. These prospective challenges could be exacerbated as domain sizes decrease and may significantly curtail application of the methodology. Large-scale defectivity analysis with segregation processes has not yet been performed. Most inherently etch-resistant BPs form free surface wetting layers and have historically required solvent annealing to achieve perpendicular orientation. Significant strides in top interface functionalization have made this challenge less daunting (vide supra). For instance, the aforementioned solution-processable top coats were first applied to Sicontaining BPs and should be applicable to a variety of etchresistant BPs.82 The optimal etch-related materials and processes for next-generation lithography are yet to be defined. Informed BP material design will certainly facilitate all types of processing methodologies. Perhaps a shift in traditional etch chemistries will enable high fidelity pattern transfer of high-χ BPs with sub-10 nm domains, which remains a formidable challenge. Replication. Complex lithographic processing increases costs associated with manufacturing. Applications such as bitpatterned media that must produce large quantities of materials derived from BP patterns require low-cost replication. Two potentially cost-effective replication strategies have emerged. Imprint Lithography. Imprint lithography replicates master templates into polymeric resists with molecular scale resolution,109,110 which makes it attractive for a variety of applications.111 Wan et al. have demonstrated impressive imprint results for bit-patterned media.10 BP self-assembly coupled with a double imprint process enabled the formation of a master template composed of rectangular bits at 0.58 teradot/ in.2 (where each dot represents 1 bit). An additional imprinting step replicated the features into a daughter template (Figure 8). Imprint lithography is clearly quite capable of replicating BP DSA patterns. Optimization of the technology and processes to replicate higher density BP patterns33 (as large as 10 teradot/ in.2) with low defectivity remains an important challenge. Yang et al. discuss some difficulties associated with feature size reduction, but note that they are likely to be overcome with further process optimization.112 Transfer Printing. Transfer printing processes113 are conceptually simple and have been used since antiquity.114 Replication of BP templates by transfer printing could enable

Figure 8. Scanning electron microscope (SEM) images of (a) nanoimprint master template with rectangular patterns and (b) imprinted resist pattern from the master template. Reproduced with permission from ref 10. Copyright 2012 SPIE.

rapid processing using a single guiding pattern (Figure 9). These approaches are akin to the microcontact printing techniques of Whitesides and co-workers,115 but since macromolecules are transferred, the resolution is not limited by small molecule mobility. Molecular transfer printing (MTP), introduced by Ji et al.,117 utilizes substrate-reactive homopolymer “inks” that partition

Figure 9. A generalized schematic for a BP transfer printing process. The pattern formed at the surface of a “master” film is transferred to a blank substrate while they are in contact. The reusable master is then used in successive printing cycles. A mirror-image “replica” of the master is formed, and the master is recovered for use in successive printing cycles. The 1 μm2 SEM images are from samples reported previously.116 High-energy structures that were replicated perfectly (circles) or slightly differently (squares) are highlighted.

discretely into well-defined PS-b-PMMA domains. One salient aspect of MTP is that ink can be printed from a single microdomain and the unfilled gaps on the replica can be subsequently imbued with a different brush molecule that is not PS or PMMA. Recent work has used MTP to create chemical nanopatterns defined by PS and P2VP that direct the placement of metal nanoparticles.118,119 These processes should be extendible to other BPs and materials. Janes et al. recently developed a photochemically activated transfer printing process which grafts directly to BPs (no inks are required).120 A conformal layer ensures good contact between master and replica; the area of transfer is limited only by light exposure area. Because of the recent emergence of BP transfer printing, G

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

existing work has only demonstrated replication feasibility. In principle, the process is fully compatible with commercially available step and flash imprint lithography (SFIL) tools.121 A fusion of transfer printing with imprint lithography remains the most technologically relevant challenge in the field, since it could drastically reduce the cost of manufacturing SFIL masks. Such a development is also necessary to enable the requisite defectivity and throughput measurements.

III. OUTLOOK The future of block copolymer lithography is bright. Increased academic and industrial interest is evidenced by enormous publication growth over the past 20 years. Technological and cost issues with next-generation optical lithography drive intense interest in alternative patterning technologies. BPbased patterning is poised for introduction into a variety of lithographic processes. However, as resolution is pushed to the absolute limits, significant questions remain about optimum materials and processes. Interaction parameters (χxy) will become increasingly important as the community strives for higher resolution and lower defectivity materials. Particularly important is the distinction between the block−block interaction parameter(s) and all other pairwise interactions between each block and each component of each interface it encounters. All interactions are temperature dependent, but to different extents, which adds an additional level of complexity to all aspects of the thin film process. There is no doubt that fresh opportunities associated with all aspects of the field of lithography, including chemistry, physics, and engineering, will drive the technological innovation demanded by the marketplace.



Michael J. Maher was born in Chicago, IL, and he earned a B.A. in Chemistry from Carthage College in 2011. Michael is currently pursuing a Ph.D. under the guidance of Dr. C. Grant Willson at the University of Texas at Austin. He is an IBM Ph.D. Fellow and a National Science Foundation Graduate Research Fellow. His research focuses on controlling the orientation of block copolymers in thin films.

AUTHOR INFORMATION

Corresponding Author

*E-mail [email protected] (C.G.W.). Notes

Dustin W. Janes holds a B.S. from Tulane University and a Ph.D. from Columbia University, both in Chemical Engineering. For his dissertation, under the direction of Christopher J. Durning, he studied the diffusion of solvent molecules in polymer/nanoparticle composites. Since 2011 he has worked in Christopher J. Ellison’s group. There, he focuses on applying established photochemistries to address new applications in polymer thin films, fibers, and block copolymers.

The authors declare no competing financial interest. Biographies

Christopher M. Bates earned a B.S. degree in Chemistry at the University of WisconsinMadison in 2007 and received a Ph.D. from The University of Texas at Austin in 2013 under the direction of C. Grant Willson. His research interests include polymer chemistry, materials science, and thin film physics. He was the winner of the DSM Science and Technology Award in 2013 for his work on interfacial design for block copolymer thin films. Christopher plans to move to Caltech in the spring for postdoctoral studies under the guidance of Robert H. Grubbs.

Christopher J. Ellison is an Assistant Professor, the Frank A. Liddell, Jr. Centennial Fellow, and the William H. Tonn Professorial Fellow in the McKetta Department of Chemical Engineering at the University of Texas at Austin. He received his B.S. in Chemical Engineering from Iowa State University in 2000. After receiving his Ph.D. in Chemical H

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

(8) Somervell, M.; Gronheid, R.; Hooge, J.; Nafus, K.; Delgadillo, P. R.; Thode, C.; Younkin, T.; Matsunaga, K.; Rathsack, B.; Scheer, S.; Nealey, P.; Mark, H. S.; Thomas, I. W. Proc. SPIE 2012, 8325, 83250G. (9) Ruiz, R.; Kang, H.; Detcheverry Francois, A.; Dobisz, E.; Kerche Dran, S.; Albrecht Thomas, R.; de Pablo Juan, J.; Nealey Paul, F. Science 2008, 321, 936. (10) Wan, L.; Ruiz, R.; Gao, H.; Patel, K. C.; Lille, J.; Zeltzer, G.; Dobisz, E. A.; Bogdanov, A.; Nealey, P. F.; Albrecht, T. R. J. Micro/ Nanolithogr., MEMS, MOEMS 2012, 11, 031405. (11) Albrecht, T. R.; Bedau, D.; Dobisz, E.; Gao, H.; Grobis, M.; Hellwig, O.; Kercher, D.; Lille, J.; Marinero, E.; Patel, K.; Ruiz, R.; Schabes, M. E.; Wan, L.; Weller, D.; Wu, T.-W. IEEE Trans. Magn. 2013, 49, 773. (12) Xin-Yu, B.; He, Y.; Bencher, C.; Li-Wen, C.; Huixiong, D.; Yongmei, C.; Chen, P. T. J.; Wong, H. S. P. In Electron Devices Meeting (IEDM); IEEE International, 2011; p 7.7.1. (13) Hillmyer, M. A. Adv. Polym. Sci. 2005, 190, 137. (14) Ryu, D. Y.; Shin, K.; Drockenmuller, E.; Hawker, C. J.; Russell, T. P. Science 2005, 308, 236. (15) Bang, J.; Bae, J.; Lowenhielm, P.; Spiessberger, C.; Given-Beck, S. A.; Russell, T. P.; Hawker, C. J. Adv. Mater. 2007, 19, 4552. (16) Jung, H.; Leibfarth, F. A.; Woo, S.; Lee, S.; Kang, M.; Moon, B.; Hawker, C. J.; Bang, J. Adv. Funct. Mater. 2013, 23, 1597. (17) Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. Science 1997, 275, 1458. (18) Bates, C. M.; Strahan, J. R.; Santos, L. J.; Mueller, B. K.; Bamgbade, B. O.; Lee, J. A.; Katzenstein, J. M.; Ellison, C. J.; Willson, C. G. Langmuir 2011, 27, 2000. (19) Keen, I.; Yu, A.; Cheng, H.-H.; Jack, K. S.; Nicholson, T. M.; Whittaker, A. K.; Blakey, I. Langmuir 2012, 28, 15876. (20) Peters, R. D.; Yang, X. M.; Wang, Q.; de Pablo, J. J.; Nealey, P. F. J. Vac. Sci. Technol., B 2000, 18, 3530. (21) Ouk Kim, S.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424, 411. (22) Stoykovich, M. P.; Mueller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Science 2005, 308, 1442. (23) Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Adv. Mater. 2001, 13, 1152. (24) Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.; Berggren, K. K. Science 2008, 321, 939. (25) Cheng, J. Y.; Ross, C. A.; Thomas, E. L.; Smith, H. I.; Vancso, G. J. Appl. Phys. Lett. 2002, 81, 3657. (26) Luo, M.; Epps, T. H. Macromolecules 2013, 46, 7567. (27) Welander, A. M.; Kang, H.; Stuen, K. O.; Solak, H. H.; Müller, M.; de Pablo, J. J.; Nealey, P. F. Macromolecules 2008, 41, 2759. (28) Sinturel, C.; Vayer, M.; Morris, M.; Hillmyer, M. A. Macromolecules 2013, 46, 5399. (29) Mansky, P.; Russell, T. P.; Hawker, C. J.; Mays, J.; Cook, D. C.; Satija, S. K. Phys. Rev. Lett. 1997, 79, 237. (30) Peters, R. D.; Yang, X. M.; Kim, T. K.; Sohn, B. H.; Nealey, P. F. Langmuir 2000, 16, 4625. (31) Zhao, Y.; Sivaniah, E.; Hashimoto, T. Macromolecules 2008, 41, 9948. (32) Russell, T. P.; Hjelm, R. P., Jr.; Seeger, P. A. Macromolecules 1990, 23, 890. (33) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Science 2009, 323, 1030. (34) Cushen, J. D.; Otsuka, I.; Bates, C. M.; Halila, S.; Fort, S.; Rochas, C.; Easley, J. A.; Rausch, E. L.; Thio, A.; Borsali, R.; Willson, C. G.; Ellison, C. J. ACS Nano 2012, 6, 3424. (35) Cushen, J. D.; Bates, C. M.; Rausch, E. L.; Dean, L. M.; Zhou, S. X.; Willson, C. G.; Ellison, C. J. Macromolecules 2012, 45, 8722. (36) Rodwogin, M. D.; Spanjers, C. S.; Leighton, C.; Hillmyer, M. A. ACS Nano 2010, 4, 725. (37) Kennemur, J. G.; Hillmyer, M. A.; Bates, F. S. Macromolecules 2012, 45, 7228. (38) Hardy, C. M.; Bates, F. S.; Kim, M.-H.; Wignall, G. D. Macromolecules 2002, 35, 3189.

Engineering from Northwestern University in 2005 with Prof. John Torkelson, he conducted postdoctoral research from 2006 to 2008 in the Department of Chemical Engineering and Materials Science at the University of Minnesota with Prof. Frank Bates. His group’s current research interests include block copolymer self-assembly in thin films, structure and dynamics of nanoconfined polymers, and light-activated chemistries for thin film patterning and fiber manufacturing.

Professor C. Grant Willson is the Rashid Engineering Regent’s Chair in Chemical Engineering and holds a joint appointment in Chemistry at The University of Texas at Austin. He received his B.S. and Ph.D. in Organic Chemistry from the University of California, Berkeley, and an M.S. degree in Organic Chemistry from San Diego State University. Willson was elected a Fellow of IBM, SPIE, and MRS and was an inaugural Fellow of the ACS. He has won numerous accolades, including the National Academy of Sciences Award for Chemistry in Service to Society, the 2007 National Medal of Technology and Innovation, and the 2013 Japan Prize.



ACKNOWLEDGMENTS We thank Nissan Chemical Company, the Rashid Engineering Regents Chair, and the Welch Foundation (grant #F-1709) for partial financial support. M.J.M. thanks the IBM Ph.D. Fellowship Program for financial support. SEM was performed at the microscopy facility in the Institute for Cellular & Molecular Biology at UT-Austin. This material is based upon work supported by the National Science Foundation Scalable Nanomanufacturing Program under Grant No. 1120823 and upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. DGE-1110007. Any opinion, findings, and conclusions or recommendations expressed in this material are those of the authors and do not necessarily reflect the views of the National Science Foundation.



REFERENCES

(1) Moore, G. E. Electronics 1965, 38. (2) Sanders, D. P. Chem. Rev. 2010, 110, 321. (3) Dammel, R. R. J. Photopolym. Sci. Technol. 2011, 24, 33. (4) Bates, F. S.; Fredrickson, G. H. Annu. Rev. Phys. Chem. 1990, 41, 525. (5) Kim, H.-C.; Park, S.-M.; Hinsberg, W. D. Chem. Rev. 2010, 110, 146. (6) Herr, D. J. C. J. Mater. Res. 2011, 26, 122. (7) Bencher, C.; Yi, H.; Zhou, J.; Cai, M.; Smith, J.; Miao, L.; Montal, O.; Blitshtein, S.; Lavi, A.; Dotan, K.; Dai, H.; Cheng, J. Y.; Sanders, D. P.; Tjio, M.; Holmes, S.; William, M. T. Proc. SPIE 2012, 8323, 83230N. I

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

(39) Son, J. G.; Gotrik, K. W.; Ross, C. A. ACS Macro Lett. 2012, 1279. (40) Yoshida, H.; Suh, H. S.; Ramirez-Herunandez, A.; Lee, J. I.; Aida, K.; Wan, L.; Ishida, Y.; Tada, Y.; Ruiz, R.; de Pablo, J.; Nealey, P. F. J. Photopolym. Sci. Technol. 2013, 26, 55. (41) Bates, F. S.; Hillmyer, M. A.; Lodge, T. P.; Bates, C. M.; Delaney, K. T.; Fredrickson, G. H. Science 2012, 336, 434. (42) Heimenz, P. C.; Lodge, T. P. Polymer Chemistry, 2nd ed.; CRC Press: Boca Raton, FL, 2007. (43) Ren, Y.; Lodge, T. P.; Hillmyer, M. A. Macromolecules 2000, 33, 866. (44) Cochran, E. W.; Morse, D. C.; Bates, F. S. Macromolecules 2003, 36, 782. (45) Callaghan, T. A.; Paul, D. R. Macromolecules 1993, 26, 2439. (46) Maurer, W. W.; Bates, F. S.; Lodge, T. P.; Almdal, K.; Mortensen, K.; Fredrickson, G. H. J. Chem. Phys. 1998, 108, 2989. (47) Russell, T. P. Macromolecules 1993, 26, 5819. (48) Scherble, J.; Stark, B.; Stühn, B.; Kressler, J.; Budde, H.; Höring, S.; Schubert, D. W.; Simon, P.; Stamm, M. Macromolecules 1999, 32, 1859. (49) Lee, S.; Gillard, T. M.; Bates, F. S. AIChE J. 2013, 59, 3502. (50) Bosse, A. W. Macromol. Theory Simul. 2010, 19, 399. (51) Bosse, A. W. J. Vac. Sci. Technol., B 2011, 29, 031803. (52) Liu, C.-C.; Nealey, P. F.; Ting, Y.-H.; Wendt, A. E. 6th ed.; AVS: New York, 2007; Vol. 25, p 1963. (53) Stein, G. E.; Liddle, J. A.; Aquila, A. L.; Gullikson, E. M. Macromolecules 2010, 43, 433. (54) Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H.-C.; Hinsberg, W. D. Adv. Mater. 2008, 20, 3155. (55) Helfand, E.; Wasserman, Z. R. Macromolecules 1976, 9, 879. (56) Mishra, V.; Fredrickson, G. H.; Kramer, E. J. ACS Nano 2012, 6, 2629. (57) Matsen, M. W.; Thompson, R. B. J. Chem. Phys. 1999, 111, 7139. (58) Mayes, A. M.; Olvera, d. l. C. M. J. Chem. Phys. 1989, 91, 7228. (59) Khanna, V.; Cochran, E. W.; Hexemer, A.; Stein, G. E.; Fredrickson, G. H.; Kramer, E. J.; Li, X.; Wang, J.; Hahn, S. F. Macromolecules 2006, 39, 9346. (60) Matsen, M. W. Macromolecules 2010, 43, 1671. (61) Ji, S.; Nagpal, U.; Liu, G.; Delcambre, S. P.; Müller, M.; de Pablo, J. J.; Nealey, P. F. ACS Nano 2012, 6, 5440. (62) Zheng, W.; Wang, Z.-G. Macromolecules 1995, 28, 7215. (63) Hillmyer, M. A. J. Polym. Sci., Part B: Polym. Phys. 2007, 45, 3249. (64) Lynd, N. A.; Hillmyer, M. A. Macromolecules 2005, 38, 8803. (65) Hustad, P. D.; Marchand, G. R.; Garcia-Meitin, E. I.; Roberts, P. L.; Weinhold, J. D. Macromolecules 2009, 42, 3788. (66) Widin, J. M.; Schmitt, A. K.; Schmitt, A. L.; Im, K.; Mahanthappa, M. K. J. Am. Chem. Soc. 2012, 134, 3834. (67) Meuler, A. J.; Ellison, C. J.; Qin, J.; Evans, C. M.; Hillmyer, M. A.; Bates, F. S. J. Chem. Phys. 2009, 130, 234903/1. (68) Widin, J. M.; Kim, M.; Schmitt, A. K.; Han, E.; Gopalan, P.; Mahanthappa, M. K. Macromolecules 2013, 46, 4472. (69) Sriprom, W.; James, M.; Perrier, S. B.; Neto, C. Macromolecules 2009, 42, 3138. (70) Edwards, E. W.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H. J. Vac. Sci. Technol., B 2006, 24, 340. (71) Vilesov, A. D.; Floudas, G.; Pakula, T.; Melenevskaya, E. Y.; Birshtein, T. M.; Lyatskaya, Y. V. Macromol. Chem. Phys. 1994, 195, 2317. (72) Han, S. H.; Pryamitsyn, V.; Bae, D.; Kwak, J.; Ganesan, V.; Kim, J. K. ACS Nano 2012, 6, 7966. (73) Onses, M. S.; Song, C.; Williamson, L.; Sutanto, E.; Ferreira, P. M.; Alleyne, A. G.; Nealey, P. F.; Ahn, H.; Rogers, J. A. Nat. Nanotechnol. 2013, 8, 667. (74) Sheehan, M. T.; Farnham, W. B.; Tran, H. V.; Londono, J. D.; Brun, Y. Proc. SPIE 2013, 8682, 868225. (75) Russell, T. P.; Coulon, G.; Deline, V. R.; Miller, D. C. Macromolecules 1989, 22, 4600.

(76) Coulon, G.; Russell, T. P.; Deline, V. R.; Green, P. F. Macromolecules 1989, 22, 2581. (77) Clark, D. T.; Peeling, J.; O’Malley, J. M. J. Polym. Sci., Polym. Chem. Ed. 1976, 14, 543. (78) Jung, Y. S.; Ross, C. A. Nano Lett. 2007, 7, 2046. (79) Li, H.; Gu, W.; Li, L.; Zhang, Y.; Russell, T. P.; Coughlin, E. B. Macromolecules 2013, 46, 3737. (80) Kim, S.; Nealey, P. F.; Bates, F. S. ACS Macro Lett. 2012, 1, 11. (81) Huang, E.; Russell, T. P.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Hawker, C. J.; Mays, J. Macromolecules 1998, 31, 7641. (82) Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. Science 2012, 338, 775. (83) Nagpal, U.; Müller, M.; Nealey, P. F.; de Pablo, J. J. ACS Macro Lett. 2012, 1, 418. (84) Bencher, C. Proc. SPIE 2011, 7970. (85) Rincon Delgadillo, P.; Harukawa, R.; Suri, M.; Durant, S.; Cross, A.; Nagaswami, V. R.; Van Den Heuvel, D.; Gronheid, R.; Nealey, P. Proc. SPIE 2013, 8680, 86800L. (86) Doerk, G. S.; Liu, C.-C.; Cheng, J. Y.; Rettner, C. T.; Pitera, J. W.; Krupp, L. E.; Topuria, T.; Arellano, N.; Sanders, D. P. ACS Nano 2013, 7, 276. (87) Ruiz, R.; Dobisz, E.; Albrecht, T. R. ACS Nano 2010, 5, 79. (88) Mishra, V.; Kramer, E. J. Macromolecules 2013, 46, 977. (89) Hammond, M. R.; Cochran, E.; Fredrickson, G. H.; Kramer, E. J. Macromolecules 2005, 38, 6575. (90) Brown, R. D.; Tong, Q.; Becker, J. S.; Freedman, M. A.; Yufa, N. A.; Sibener, S. J. Faraday Discuss. 2012, 157, 307. (91) Liu, C.-C.; Ramirez-Hernandez, A.; Han, E.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Kang, H.; Ji, S.; Gopalan, P.; de Pablo, J. J.; Nealey, P. F. Macromolecules 2013, 46, 1415. (92) Perera, G. M.; Wang, C.; Doxastakis, M.; Kline, R. J.; Wu, W.-l.; Bosse, A. W.; Stein, G. E. ACS Macro Lett. 2012, 1, 1244. (93) Shin, K.; Leach, K. A.; Goldbach, J. T.; Kim, D. H.; Jho, J. Y.; Tuominen, M.; Hawker, C. J.; Russell, T. P. Nano Lett. 2002, 2, 933. (94) Gu, X.; Gunkel, I.; Russell, T. P. Philos. Trans. R. Soc., A 2013, 371. (95) Ting, Y.-H.; Park, S.-M.; Liu, C.-C.; Liu, X.; Himpsel, F. J.; Nealey, P. F.; Wendt, A. E. J. Vac. Sci. Technol., B 2008, 26, 1684. (96) Rathsack, B.; Somervell, M.; Hooge, J.; Muramatsu, M.; Tanouchi, K.; Kitano, T.; Nishimura, E.; Yatsuda, K.; Nagahara, S.; Hiroyuki, I.; Akai, K.; Hayakawa, T.; William, M. T. Proc. SPIE 2012, 8323, 83230B. (97) Park, M.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Adamson, D. H. Science 1997, 276, 1401. (98) Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. ACS Nano 2011, 5, 4600. (99) Ruiz, R.; Wan, L.; Lille, J.; Patel, K. C.; Dobisz, E.; Johnston, D. E.; Kisslinger, K.; Black, C. T. J. Vac. Sci. Technol., B 2012, 30, 06F202/ 1. (100) Park, S.; Wang, J.-Y.; Kim, B.; Xu, J.; Russell, T. P. ACS Nano 2008, 2, 766. (101) Gu, X.; Liu, Z.; Gunkel, I.; Chourou, S. T.; Hong, S. W.; Olynick, D. L.; Russell, T. P. Adv. Mater. 2012, 24, 5688. (102) Rider, D. A.; Manners, I. Polym. Rev. 2007, 47, 165. (103) Hartney, M. A.; Novembre, A. E.; Bates, F. S. J. Vac. Sci. Technol., B 1985, 3, 1346. (104) Jung, Y. S.; Lee, J. H.; Lee, J. Y.; Ross, C. A. Nano Lett. 2010, 10, 3722. (105) Cheng, J. Y.; Ross, C. A.; Chan, V. Z. H.; Thomas, E. L.; Lammertink, R. G. H.; Vancso, G. J. Adv. Mater. 2001, 13, 1174. (106) Hirai, T.; Leolukman, M.; Liu, C. C.; Han, E.; Kim, Y. J.; Ishida, Y.; Hayakawa, T.; Kakimoto, M.-a.; Nealey, P. F.; Gopalan, P. Adv. Mater. 2009, 21, 4334. (107) Bates, C. M.; Pantoja, M. A. B.; Strahan, J. R.; Dean, L. M.; Mueller, B. K.; Ellison, C. J.; Nealey, P. F.; Willson, C. G. J. Polym. Sci., Part A 2013, 51, 290. J

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

(108) Seshimo, T.; Bates, C. M.; Dean, L. M.; Cushen, J. D.; Durand, W. J.; Maher, M. J.; Ellison, C. J.; Willson, C. G. J. Photopolym. Sci. Technol. 2012, 25, 125. (109) Hua, F.; Sun, Y.; Gaur, A.; Meitl, M. A.; Bilhaut, L.; Rotkina, L.; Wang, J.; Geil, P.; Shim, M.; Rogers, J. A.; Shim, A. Nano Lett. 2004, 4, 2467. (110) Chou, S. Y.; Krauss, P. R. Microelectron. Eng. 1997, 35, 237. (111) Sreenivasan, S. V. MRS Bull. 2008, 33, 854. (112) Yang, X.; Xu, Y.; Lee, K.; Xiao, S.; Kuo, D.; Weller, D. IEEE Trans. Magn. 2009, 45, 833. (113) Carlson, A.; Bowen, A. M.; Huang, Y.; Nuzzo, R. G.; Rogers, J. A. Adv. Mater. 2012, 24, 5284. (114) Carter, K. R. ACS Nano 2010, 4, 595. (115) Qin, D.; Xia, Y.; Whitesides, G. M. Nat. Protoc. 2010, 5, 491. (116) Janes, D. W.; Thode, C. J.; Willson, C. G.; Nealey, P. F.; Ellison, C. J. Macromolecules 2013, 46, 4510. (117) Ji, S.; Liu, C.-C.; Liu, G.; Nealey, P. F. ACS Nano 2010, 4, 599. (118) Onses, M. S.; Thode, C. J.; Liu, C.-C.; Ji, S.; Cook, P. L.; Himpsel, F. J.; Nealey, P. F. Adv. Funct. Mater. 2011, 21, 3074. (119) Thode, C. J.; Cook, P. L.; Jiang, Y.; Onses, M. S.; Ji, S.; Himpsel, F. J.; Nealey, P. F. Nanotechnology 2013, 24, 155602. (120) Janes, D. W.; Thode, C. J.; Willson, C. G.; Nealey, P. F.; Ellison, C. J. Macromolecules 2013, 46, 4510. (121) Resnick, D. J.; Sreenivasan, S. V.; Willson, C. G. Mater. Today 2005, 8, 34.

K

dx.doi.org/10.1021/ma401762n | Macromolecules XXXX, XXX, XXX−XXX