COMPLETING THE CIRCUIT - C&EN Global Enterprise (ACS

Nov 12, 2010 - ... many generations of computer chip advances—the seemingly unstoppable process of improvement known in the industry as Moore's Law...
0 downloads 0 Views 2MB Size
business

Sir;'Λ

COMPLETING THE CIRCUIT C&ëfnical cotHmmmmψψμψ^^^^ψψψ^ψψ^^ to Supply the njJyJrWBfrTf^ ^^^M^m^^^^M^^Ê the next Michael McCoy C&EN Northeast News Bureau

C

opper and low k. For the electron­ ics industry, these words repre­ sent a whole new way of design­ ing and manufacturing semiconductors that are smaller and faster. For the chemical industry, the words represent a potentially huge opportunity to supply a range of chemicals and ma­ terials that will be needed to make these new semiconductors. However, they also represent a significant challenge as the shift to the new manufacturing tech­ nique will create winners and losers among materials suppliers. For most of the semiconductor indusindus­ try's short life, circuit lines that connect transistors and other chip components have been formed with aluminum metal. These thin aluminum lines—created through a multistep process of metal dep­ osition, masking, and etching—are pro­ tected from each other with an insulating material, usually silicon dioxide. This basic circuit structure worked well through many generations of com­ puter chip advances—the seemingly unstoppable process of improvement

generfytfm of computer chips

known in the industry as Moore's Law. The law, named after a 1965 prediction by Intel Corp. cofounder Gordon E. Moore, accurately anticipated that the computing power of silicon chips would double every 18 to 24 months. However, as aluminum circuit lines followed the Moore's Law curve and be­ gan to approach 0.18 μιη in width, the limiting factor in computer processor speed shifted from transistors—the tra­ ditional trouble spot—to the aluminum and the Si0 2 insulation material, also known as the dielectric. According to Mark McClear, business director for Dow Chemical's semiconduc­ tor materials group, that realization precip­ itated a shift in semiconductor industry re­ search from transistors to wiring. 'They realized that there were two levers left to pull—one was change the metal and one was change the dielectric," he says. In the mid-1990s, some 150 potential new dielectric materials were identified by Sematech, the semiconductor manu­ facturing technology consortium, where­ as only three metals—silver, gold, and cop­ per—were viewed as feasible. As a result, McClear says, most industry research fo­ cused onfindingthe best new dielectric.

However, in September 1997 IBM sur­ prised the computer industry by an­ nouncing that it had decided on the met­ al, choosing copper as its circuit material of the future. "Suddenly, everybody's pro­ gram shifted to copper researchfromdi­ electric research," McClear says. "Dielec­ tric research was put on hold." Jim Ryan, manager of interconnect technology for IBM Microelectronics in East Fishkill, N.Y., says his company chose the metal before the dielectric pre­ cisely because it was the easier decision. "Our strategy is to make one change, then incorporate it commercially," Ryan says. In 1998, IBM launched production of semiconductors with 0.22-μηι copper wiring using a conventional dielectric. However, once IBM and other semi­ conductor manufacturers were able to make copper wiring work, the research focus shifted back to the dielectric. Gradually, the original 150 candidates were whittled down to just a handful. like it did with copper, IBM came out first with its material of choice for the new dielectric, announcing in April that it had picked DoVs SiLK aromatic hydro­ carbon polymer, which has a dielectric constant—what the industry calls k valNOVEMBER 20,2000 C&EN 17

business ue—of 2.65. Suddenly, Dow, a company with little experience in the semiconduc­ tor materials business, was linked up with one of the world's top chip makers. Ryan acknowledges that choosing a new dielectric was difficult. "Silicon di­ oxide is a marvelous material," he says. "It has all the characteristics you like— no low-k material can do what it can do." But with a dielectric constant of about 4.2, Si0 2 wasn't a good enough insulator to prevent cross talk between the close­ ly spaced wires in the smaller genera­ tion of electronic devices. The criteria that IBM subjected its di­ electric candidates to included thermal stability to 450 ° C, a dielectric constant of less than 3.0, good adhesion, chemical compatibility with other chip compo­ nents, etchability, and commercial avail­ ability. "We looked through a whole host of dielectrics," Ryan says, "and the one we felt had the best properties was SiLK" DoVs McClear says SiLK works so well because it was invented for this par­ ticular job. He says SiLK was developed after a Dow researcher attended a 1995 conference at which the dielectric prob­ lem was discussed. "He said, 'Hey, this is something we can invent/ " McClear says. "It isn't something we had lying around the back that we used to coat the bottom of boats." IBM will start producing 0.13-μιη semiconductors that employ copper and SiLK in the first half of 2001 at an exist­ ing plant in Burlington, Vt. A new $2.5 billion plant based on copper and SiLK—part of IBM's largest ever capital investment—will then start up in 2003 in EastFishkill. These applications will mark the com­ mercialization of SiLK, but McClear makes it clear that IBM won't be DoVs only customer. 'There are about 15 semi­ conductor companies worldwide with ac­ tive low-k programs, and we are working with all of them," he says. Although dielectric materials are used in minute quantities in semiconductors, they add up to a potentially huge market. Michael Corbett, business manager at Little Falls, N.J., consulting firm Kline & Co., predicts that world sales of low-k ma­ terials, only about $4 million this year, will grow to $300 million by 2004. Mc­ Clear goes further, predicting sales will reach $500 million at maturity. Unlike copper for wiring, however, no one dielectric will win out McClear, for example, acknowledges that SiLK will only capture a portion of the dielectric market—he predicts 30 to 40%. And he 18 NOVEMBER 20,2000 C&EN

has competitors contending that even that prediction is way too optimistic. One of these competitors is Wilbert van den Hoek, executive vice president for integration and advanced develop­ ment at Novellus Systems, a San Jose, Calif.-based maker of systems that use chemical vapor deposition (CVD) to place thin films on silicon wafers. Equipment from Novellus and its chief competitor, Applied Materials, Santa Clara, Calif., is the mainstay in the semiconductor industry for depositing Si0 2 dielectric, which is generated in plasma form from precursors such as tetraethyl orthosilicate (TEOS) and silane. Their equipment also deposits a number of other metals and films used in semiconductor manufacture, includ­ ing fluorinated silicate glass, a "transi­ tional" dielectric—its k value is about 3.7—that is now used in a number of semiconductor plants. Novellus' low-k solution is a CVD-applied material it calls Coral. Van den Hoek describes Coral as a silicon diox­ ide matrix in which some of the oxygen atoms have been replaced with methyl groups that lower the dielectric con­ stant to 2.7. Rather than TEOS or silane, this carbon-doped silicon oxide is based on a proprietary but widely available precursor, he says. A chief difference between Coral and SiLK is that Coral is applied using a plas­ ma with traditional CVD equipment whereas SiLK is "spun on" to a spinning

Spin-on application of dielectrics such as Dow Chemical's SiLK aromatic hydrocarbon polymer is starting to challenge the conventional vapor deposition technique.

silicon wafer, dispersing across its sur­ face through centrifugal force. Van den Hoek admits that IBM's de­ cision has heightened industry interest in spin-on dielectrics, but he maintains that prior to the announcement most semiconductor makers had abandoned spin-on polymers because of problems with the approach. "Those problems have not disappeared," he says. According to van den Hoek, these problems include lower hardness com­ pared with CVD materials; a high coeffi­ cient of thermal expansion, which makes integration into the overall semiconduc­ tor manufacturing process difficult; and a much higher price—dollars per gram versus cents per gram for CVD materials. Of course, in semiconductor manufac­ ture, the key term in assessing price is "cost of ownership," which also factors in equipment costs, time, manpower, prod­ uct loss, and many other variables. There, too, van den Hoek maintains that spin-on application ends up costing two to three times more than CVD. Low-k CVD dielectrics are very new: Carbon-containing silicon oxides based on trimethylsilane (TMS) were first pro­ posed by Dow Corning researcher Mark Loboda in 1998. Van den Hoek contends that IBM chose to go with a spin-on solu­ tion because the company needed to make a decision before low-k CVD pro­ cesses were established. 'They had done several years of work with SiLK and came up with a process that works, although complex and high cost," he says. Dow's McClear counters that the spin-on process, while new for dielectric deposition, is already widely in use in semiconductor plants as a way of apply­ ing photoresist—the polymeric material used in the masking and etching of cir­ cuit lines. Likewise, he asserts that cost of ownership for SiLK is "competitive with any alternative." Moreover, because the price of SiLK will inevitably come down and less ex­ pensive products like Coral can't go much lower, the spin-on process will be­ come even more competitive, McClear says. Add to this reductions in product loss during the spin-on process, and he claims that spin-on application will be less expensive in the long run. While Dow and Novellus debate the relative merits of spin-on versus CVD technology, one company—Dow Corn­ ing—is playing on both sides of the fence, according to Jim Easton, the com­ pany's global commercial leader for semiconductor materials.

On one side, Dow Corning has been successfully marketing the TMSfirstpro­ posed by Laboda for use in low-k CVD deposition processes. Applied Materials, Novellus' competitor in CVD equipment, is known to base its Black Diamond low-k material on TMS, and Easton says Dow Corning plans to further expand its line of silicon-based chemicals to encompass more CVD processes. On the other side of the fence, Dow Corning has developed its own line of spin-on dielectrics based on hydrogen sUsesquioxane (HSQ). One product, FOx brand flowable oxide, has been available since 1992 and is in use in several types of computer chips. With a dielectric con­ stant of 2.9, "ifs the largest selling low-k material today," Easton says. In July, at the Semicon trade show in San Francisco, Dow Corning announced a new product line, called XLK, that is es­ sentially HSQ with pores. These pores, made by boiling out a solvent during a cure step in the semiconductor fabrica­ tion process, lower the dielectric constant of HSQ significantly—to 2.0, 2.2, or 2.5, depending on pore size. Easton says a number of evaluations of XLK are active globally. "There is a lot of customer interest in XLK because, being silicon-based, it has a high degree of familiarity," he says. Dovfs McClear discounts the viability of products like XLK for the next genera­ tion of semiconductors, claiming that SiLK is "the last spin-on standing" for chips based on 0.13-μιη lines. Easton, however, says most customer work with

IBM is first to use copper and low-k dielectric in semiconductors Copper wiring

Low-k dielectric

StlptllBII _

Silicon dioxide

Tungsten interconnect

J

IBM will use copper wiring and Dow Chemical's low dielectric constant SiLK polymer in a new generation of semiconductors to debut next year.

XLK is on the subsequent generation— Like Easton at Dow Corning, Michael semiconductors with 0.10-μτη copper wir­ Thomas, chief technical officer of Honey­ ing—where a material with a lower di­ well's wafer fabrication materials unit, electric value than SiLK will be needed. says the main target for his products is Also playing on both sides of the fence the Ο.ΙΟ-μτη generation, set for commer­ is Honeywell Electronic Materials. But in cialization in 2003 or 2004. Although some Honeywell's case, the two sides are organ­ chip makers will stick with existing dielec­ ic and inorganic materials—both applied trics at 0.13 urn, Thomas says 0.10 μτη will by spin-on techniques. The company's be the true proving ground for low-k di­ product line consists of Flare, an organic electrics because the whole industry will spin-on polymer with a dielectric constant need to use low k at that line width. of 2.8; HOSP, a spin-on hybrid siloxaneThomas says Honeywell's dielectric organic polymer with a dielectric value of product line breadth means it can pro­ 2.5; and Nanoglass, a spin-on nanoporous vide a "full spin-on solution," so no CVD silica coating with a dielectric value of 2.0. dielectric is needed. He advises to look

MSW500 Manual Synthesis Workstation

CD hemspeed

The Entrance to Chemspeed Technology Parallel Multistep Synthesis in Solution- and Solid-Phase a

Addition of Reagents while Shaking, Heating, and Cooling under Inert Conditions « Work up Extraction, Evaporation, Filtration * Output Pipetting to Disposables or Microtiter Plates

cSZKlic:^

The MSW500 belongs to a family of synthesizers (ASW1000, ASW2000), which gives you a choice of instrument to suit your budget, but also offers the flexibility to upgrade, if needed, at a later date. The MSW500 was designed by chemists, unwilling to make compromises that can so often be a burden when l ^ n ^ e S S S y S ? ! implementing new instrumentation. Investing in the WISW500 is

chemspeed Ltd. UK

| Phoh/ +441234 321400 I an investment in your laboratories future. CIRCLE 8 ON READER SERVICE CARD

NOVEMBER 20,2000 C&EN

19

τι

business

c

NATIONAL ACADEMIES Advisers to the Nation on Science, Engineering, and Medicine

National Research Council

Summer Faculty Fellowships at the Air Force Research Laboratory • 25 to 100 Fellowships • generous stipend • • daily expense allowance where applicable • • 8-14 continuous weeks between April 1 and September 30 • Locations:

Wright-Patterson AFB, OH; Kirtiand AFB, NM; Brooks AFB, TX; Rome, NY; Tyndall AFB, FL; Eglin AFB, FL; Edwards AFB, CA; Hanscom AFB, MA; USAF Academy, CO Eligibility: US citizens or legal permanent US residents Full-time faculty at accredited baccalaureate granting US institution with Ph.D. in science or engineering For further information and application materials, see the NRC Web site at: www.national-academies.org/rap. If assistance is needed, contact: Mail: National Research Council, 2101 Constitution Ave NW, TJ 2114/SF Washington, DC 20418 E-mail: [email protected] Tel: 202-334-2760 Fax: 202-334-2759 CIRCLE 19 ON READER SERVICE CARD

Glymes and Grignards: Solutions Through Innovation New Brochure on Using Glycol Diethers for Safer Grignard Solutions • High Flash Point • Easier Initiation • Easy Recovery • Low Vapor Pressure • Minimal Peroxide Formation

Call or write for Free 14-page Brochure

® FERRO. wwwferroxom

Grant Chemical Division 111 West Irene Road Zachary, Louisiana 70791 Telephone: 225-658-5622 Fax: 225-654-3268 An ISO-9002 Certified Location

CIRCLE 16 ON READER SERVICE CARD

20

NOVEMBER 20,2000 C&EN

beyond the k value of individual dielec­ trics to overall, or effective, k. The CVD approach racks up a 0.5-k penalty in to­ tal dielectric value, Thomas claims, whereas "we can stack our organic and inorganic materials together so there are no penalties in the effective k." Like the rest of the industry, Honey­ well learned about IBM's choice of SiLK in April, but Lynn Forester, marketing director for Honeywell's wafer fabrica­ tion unit, cautions not to read too much into it. "It was entirely consistent with the fact that IBM is a foundry," a compa­ ny that sells semiconductors on the merchant market and has reason to boast about its technical prowess. "Lots of our customers are very reluctant to disclose what they are doing technolog­ ically," Forester says. She points to Sony's less widely no­ ticed revelation at a technical confer­ ence in December 1999—several months before IBM's announcement— that it successfully tested Honeywell's Flare as a dielectric in chips for ad­ vanced consumer electronics. Although Honeywell and Dow are fierce competitors in the dielectric are­ na, they are united in the belief that spin-on polymers are the approach of the future because they offer something customers want badly: extendability to later chip generations. 'The CVD indus­ try doesn't have any answer for the fu­ ture," Thomas says. IBM's Ryan notes that Dow and IBM are addressing the extendability of SiLK through an $18 million Advanced Tech­ nology Program grant from the National Institute of Standards & Technology. The goal of the program is to develop a po­ rous version of SiLK with a dielectric con­ stant of 2.0 for 0.10-μιη semiconductors. Ryan says SiLK's extendability is a big part of the reason that IBM chose it. "We wanted a material that is useful for multiple generations," he says. "We will use SiLK at 0.13, and we expect to use it at 0.10." Novellus' van den Hoek acknowledg­ es that porosity can't be introduced into CVD materials because they aren't ap­ plied in the liquid phase. However, he says nanoscale porosity can be imparted through the use of larger organic groups—just as substitution of a methyl group for oxygen in Si0 2 gave Coral a lower dielectric value. "We have to ap­ proach the issue with a different mind­ set," he says. At the same time, van den Hoek points out that k value isn't the only necessary

parameter for next-generation dielec­ trics. For example, he says polytetrafluoroethylene, or DuPonfs Teflon, has a dielectric constant of 2.0, the lowest known among commercial materials, but it isn't suited to semiconductor processing. In van den Hoek's opinion, the true challenge for materials companies is coming up with a dielectric that can be integrated into the overall production process—something he believes will be difficult with porous polymers. 'We con­ sider getting to lower k the least of our problems," he says. 'The real challenge is coming up with a usable semiconduc­ tor manufacturing material." While the major dielectric suppliers battle for low-k market supremacy, sup­ pliers of other chemicals and gases used in semiconductor manufacturing are scrambling to keep up with the changes. At Air Products & Chemicals, Joseph Stockunas, worldwide marketing manag­ er for electronics, says his group has been able to anticipate changes in the ma­ terials needs of semiconductor fabrica­ tors because it already supplies them with some 35 gases and more than 30

chemicals. Overall, he expects the move to copper and low k will be a plus for Air Products, but he admits that the firm will take a few hits. Today, for example, the company is a major supplier of high-purity TEOS used for Si0 2 generation in CVD equip­ ment. As the industry moves to the first generation of new dielectrics—the tran­ sitional fluorinated silicate glass prod­ ucts—Air Products is ready as the dom­ inant supplier of silicon tetrafluoride, used to dope Si0 2 . The company recent­ ly completed an expansion of silicon tet­ rafluoride capacity at its facility in Morrisville, Pa., Stockunas adds. For the move to the carbon-doped sil­ icon oxides based on TMS such as Black Diamond, Air Products has struck a deal with Dow Corning to be its distributor of TMS to the electronics in­ dustry. Other low-k CVD dielectrics will be based on tetramethylsilane, and Air Products will supply this chemical through its Schumacher subsidiary, he adds. On the down side, Stockunas notes that Air Products sells chlorine-based

gases such as boron trichloride that are used to etch aluminum; however, copper isn't etched, so sales of these products— already relatively small volume—will eventually decline. Likewise, Air Prod­ ucts is the leading producer of tungsten hexafluoride, which is used to create tungsten interconnects to aluminum wir­ ing. But the new chips will use copper for the wiring and the interconnect, so tung­ sten hexafluoride volumes will eventually fall as well. Stockunas and other industry ob­ servers emphasize that, initially at least, copper/low-k computer chips will be niche products aimed at the high end of the electronics market. They point out that the traditional aluminum-Si02 semi­ conductors will be the dominant prod­ uct for years to come and, in fact, will continue to grow, spurring chemical de­ mand along with them. For some chemicals, such as nitrogen trifluoride, the emergence of new dielec­ trics may mean a slight trimming of the growth curve. Currently, NF3 is experi­ encing soaring demand as a CVD cham­ ber cleaning gas. These chambers are

Organk Electroluminescence

*1·&*£ι

0_ Nile Red N0659 CAS# 7385-67-3

l,4-Bis[2-[4-[W,W-di(p-tolyl)amino]phenyl]vinyl]benzene B2080 CAS# 55035-43-3

Tris(8-qtiinolinolato)aluminum T1527 CAS# 2085-33-8

^C*» 3 U-Bis[4-WN-di(/Holyl)arnino]phenyl] ^W'-Diphenyl-^W'-diim-tolyDbenzidine Coumarin 6 cydohexane B2079 CAS# 58473-78-2 B2088 CAS# 38215-36-0 D2448 CAS# 65181-78-4 ! www.tciamerica.com/catalog fcJ.IIBJ.I.B.UJajJ.^.IJAJJ.IB.I.N.I.I.UJIJ.I..B.lllJ.I.III.IJJ.IJ.II.I.f EH TCI web site links to a Spectral Data Base System for organic compounds operated by the Research Information Open Data Base project of the Agency of Industrial Science and Technology, Ministry of International Trade and Industry of Japan.

TCI

T O K Y O KASEI K O G Y O C O . , LTD. 3-1-13, Nihonbashi-honcho, Chuo-ku, Tokyo 103-0023 Japan Phone: +81-3-3278-8153 Fax: +81-3-3278-8008 E-mail: [email protected]

http://www.tokyokasei.co.jp

LU MM

TCI A M E R I C A , I N C .

9211 N. Harborgate St. Portland, OR 97203 USA Phone: (800) 423-8616 Fax: (503) 283-1987 ji E-mail: [email protected]

http://www.tciamerica.com

CIRCLE 2 9 ON READER SERVICE CARD

NOVEMBER 20, 2000 C&EN

21

business Wet chemicals woes are slowly lifting Of the major electronic chemicals seg­ ing techniques that cut demand for its ments, the one least affected by the move products. to copper circuitry and materials with low As a result, he says, Arch will exit dielectric constants is high-purity wet "straight" chemicals—basic high-purity chemicals used for semiconductor wafer products to which Arch doesn't add cleaning and preparation. However, this much value—and focus on specialties business has more than enough prob­ such as etchants, strippers, and residue lems of its own to contend with. removers for which the company offers According to figures released mid­ special know-how. "These products will year by Semiconductor Equipment & be really important, even strategic, to Materials International, a San Jose, our customers," Gouby says. Calif.-based trade association, the glo­ Arch's downsizing follows several oth­ bal semiconductor industry is expect­ er restructuring moves in wet chemicals. ed to grow 30% this year to $195 bil­ Early this year, Houston-based Koch Mi­ lion in sales. But sales of acids, bases, croelectronic Services, a newcomer to and solvents used in semiconductor the field, put its business up for sale. production will rise only 10% to about Then Honeywell Specialty Chemicals and $745 million. Mitsubishi Chemical announced in July Most chemical businesses would kill that they intend to combine their wet for such double-digit gains, but wet chemicals businesses into a new jointly chemicals makers embarked on a ma­ owned company. The deal is expected to jor capacity buildup in recent years, be final by year's end. Honeywell and anticipating even higher growth. The Mitsubishi expect their alliance to be most recent victim of the muted out­ number two in wet chemicals sales look is Arch Chemicals, which three worldwide, after Ashland Specialty weeks ago announced a major down­ Chemical's electronic chemicals division. sizing of its wet chemicals business, At the Ashland division, General Man­ which it calls process chemicals. ager Charles W. Cook Jr. says he has Arch will take a $50 million charge to been trying to ignore the upheaval and cover the cost of cutting back manufac­ stay the course. For Ashland, this meant turing in Mesa, Ariz., and Zwijndrecht, starting up a new wet chemicals plant in Belgium; downsizing product offerings; 1998 in Pueblo, Colo., right in the midst and refocusing on formulated specialty of the downturn. A similar plant set for chemicals. In the process, it expects to Taiwan was delayed about a year, but reduce sales by more than 75% but im­ started up last month. prove operating margins to 10% of sales. Cook says the Taiwan plant is coming Arch's process chemicals business re­ onstream in a wet chemicals industry en­ ported a loss of $1.8 million in the third vironment that has improved modestly quarter on sales of $ 17 million. this year along with rising semiconductor Philippe Gouby, vice president and production. However, he anticipates that general manager of Arch's microelec­ the business is on the cusp of a real step tronics unit, says the company spent change in demand as new semiconduc­ $75 million overfiveyears to build up tor fabrication equipment built in recent the process chemicals business, but it years finally comes on-line, requiring got caught by the triple forces of the healthy initial chemical doses. "The meat big capacity buildup, a sharp semicon­ of the recovery will happen as new capital ductor industry downturn in 1996- equipment comes onstream," Cook says. 97, and the development of new clean­ 'That?s just now beginning."

used, among other things, to deposit di­ in the ground to meet the market's electric, but as the industry transitions to needs for next year." low k, some of the dielectric will be spunIn addition to NF 3 and other chemi­ on without the use of CVD chambers. cals closely tied to dielectric production, Air Products, the world's largest NF 3 ancillary products such as photoresist producer, is in the midst of another ex­ strippers and plasma etch residue re­ pansion at its Hometown, Pa., plant in movers will also be affected by the move response to a worldwide shortage of the to copper and low-k dielectrics. chemical. Stockunas acknowledges that Ashland Specialty Chemicals is a ma­ spin-on application of dielectric will jor supplier of these strippers and re­ eventually affect NF 3 demand, but for movers through the Ashland-ACT unit the most part he doesn't see the tech­ of its electronic chemicals division. And nique really emerging until 0.10-μιη Bob Rohlfing, the unit's director, says chips come along several years from product development related to copper now. 'The NF3 market is very tight right and low-k dielectrics is advancing quick­ now," he says. 'We're putting the capital ly. "It's a major activity that's deciding 22 NOVEMBER 20,2000 C&EN

how we allocate resources," he says. "Right now, it's disproportionate to actu­ al sales figures." Many of the strippers and residue re­ movers used with aluminum-based semi­ conductors contain hydroxylamine, a product that is in short supply because of an explosion at a plant in Japan owned by Nisshin Chemical, Ashland's main suppli­ er (C&EN, July 24, page 23). However, while stripper makers are scrambling for hydroxylamine today, it isn't compatible with copper, so new chemistries will have to be developed for chip fabrication steps in which copper is involved. So far, Rohlf­ ing says, Ashland has delivered two prod­ ucts—the NE series and ACT 970—that work well with copper. At the same time, producers of strip­ pers and residue removers must make sure their products interact well with the new dielectrics. Ashland has been informed that its NE-14 is the process of record for the Coral and Black Diamond CVD dielectrics, Rohlfing notes. Like­ wise, the company is working with Dow on ensuring the compatibility of its etch residue removers with SiLK. Rohlfing says the ancillary chemicals market is fracturing because there's no standardization of the copper/low-k chip materials like there is with aluminum and Si02. 'Things are becoming much more customer specific," he says. "I believe we will have some high-volume products like the NE series and ACT 970 in the future that work over a range of applications. At the same time, we will have a large num­ ber of customer- and application-specific products that are only as successful as the customer is." The fast-growing field of chemical mechanical planarization (CMP)—the use of dilute abrasive slurries to polish, or planarize, silicon wafer surfaces— will also change as a result of the move to copper and low-k dielectrics. Today, the CMP business is dominated by Cabot Microelectronics, Aurora, 111., with an estimated 80% market share, but a number of firms see the shift to copper as an opening into the market. One of these is Arch Chemicals, which recently formed Planar Solutions, a joint venture with Wacker Silicones to develop and market CMP slurries. Phil­ ippe Gouby, vice president and general manager of Arch's microelectronics unit, says the joint venture, finalized in April, already has several products on the market. However, Gouby admits that Planar Solutions is something of a latecomer to

In our field, NMP is the MVP—Most Valuable Product!

For stripping, degreasing, process equipment clean-up... Now there's more reason than ever to clean up with NMP (N-Methylpyrrolidone) from BASF because NMP offers more value than ever before. NMP is the ideal replacement solvent, providing effective, safe and environmentally sound cleaning at a cost that makes genuine economic sense.

As a Most Valuable Player excels in all aspects of the game, NMP

excels in multiple applications:

• Process equipment cleaning • Industrial paint stripping • Immersion cleaning and degreasing

NMP has Most Valuable stats: · zero ozone layer depletion . Low evaporation rate ... . Λ . . x • High flash point

• Resin clean-up • Multi-purpose solvent for resins used in electronics, coatings, printing inks, agricultural chemicals

#

ater rmseat)le

• Extraction solvent for petroleum operations

· Recyclable

• Reaction diluent/solvent for high temperature resins

· Biodegradable

NMP Today's Most Valuable Product for industrial cleaning! For complete technical details and more information, call BASF at 1-800-828-NM2P, Fax 1-973-426-4752 or www.diollog.com.

Making Products Better.

CIRCLE 3 ON READER SERVICE CARD

BASF

business the mainstay CMP market—the polishing of aluminum and Si02. 'We have a very good product, but because of the dominance of Cabot in this field, we have also come in with a high-performance copper slurry," he says. Ashland is taking a similar approach. Charles W. Cook Jr., general manager of its electronic chemicals division, says Ashland chemists have developed CMP slurries targeted at copper, as well as specialized post-CMP cleaning compounds. "We have products in the latest stages of

development," he says. "If we can demonstrate our capability in copper, then we can back into other applications as well." Bayer Corp. is getting into CMP too, according to Elie Saad, director for electronic chemicals, with a focus on copper and low-k dielectric polishing. Bayer is offering the standard CMP products, but Saad thinks the company's best chances are in the emerging markets where no company has an established product line. "Chip fabricators have to test before they jump into copper," he

9095 of your potentini customerssiny snmples effect tjltroipession of you

Write sflmples better thon Anyone. We asked, and close to 5 0 0 customers of chemical companies answered. Over 90% said the way you handle their sample requests has a strong or moderate effect on their mpression of you. That's why leading chemical companies turn to Chemical Marketing Concepts, the world's number one provider of chemical sample fulfillment services. Samples are our business. We meet all regulations, provide expert pacKaging, and ship over 80% of our orders in less than eight hours. Our clients have access to dedicated 800-line call services and Internet ordering, with e-mail confirmation and web site trackina.Thev receive

detailed electronic marketing and sales reports. Chemical Marketinq Concepts handles hazardous and norvhaz ardous materials, temperaturesensitive products, and more. We ship to both domestic and international destinations from three state-of-the-art chemical packaging facilities. Make a great first impression with your samples. Call 860-354-3997 or e-mail us c [email protected] for more information on our services or a free CD tour of our sample fulfillment process. Let us take care of your samples. Because we do samples better than anyone.

Chemical Marketing Concepts, Inc. the global leader in chemical samplinq

CIRCLE 6 ON READER SERVICE CARD

24

NOVEMBER 20,2000 C&EN

says. "Bayer will have just as good an opportunity as anyone else." Existing CMP suppliers caution that making it in CMP, even in the new copper segment, won't be easy. 'There's much more to it than putting chemicals together and sending them out to someone," says Don Frey, director of marketing for CMP at EKC Technologies, a Hayward, Calif.-based unit of ChemFirst that is one of the top three CMP companies. Copper itself is a new market for chemical suppliers. In July, for example, Arch Chemicals linked up with MacDermid Inc. to develop and sell copper electroplating systems for the new semiconductors. Ed Wentworth, business manager for Arch's thin-film systems unit, says Arch is also developing a CVDapplied copper "seed" layer to be put down before the electroplating step. In today's chips, a thinfilmof titanium nitride is applied via CVD before the aluminum is deposited, but Arch is currently evaluating numerous replacement candidates because, according to Wentworth, it's not clear that the current approach will work with copper. 'We're not just optimizing the same old materials," he says. "We're playing with brand-new molecules." Clariant's AZ Electronic Materials unit in Somerville, N.J., envisions an expanded opportunity for its line of bottom antireflective coatings used to protect the metal as well as other circuit components during various etching steps. According to Product Manager Kathryn Yager, AZ had to develop an entirely new line of coatings that work with copper, but because of the expanded need for them in the copper circuit architecture, the payoff should be a new market twice as big as the existing one. Kline & Co.'s Corbett sees all this activity as good news for chemical suppliers, who will have the opportunity to play a partnerlike role in the more varied materials landscape of the future. Today, semiconductor makers beat the heck out of their suppliers," he says. "But in the future, they will need their chemicals to be customized to a specific application." At Ashland, Cook agrees that chemical suppliers are doing a lot to make the new copper and low-k technology work for semiconductor producers, but he's not convinced that the industry will be in a stronger negotiating position as the new materials proliferate. "I think the value of what we do will be easier to see," he says, "but whether or not we can retain that value remains to be seen."^