Directed Block Copolymer Thin Film Self-Assembly: Emerging Trends

Aug 30, 2013 - This control over domain orientation can be achieved through thin film confinement and film/surface interactions, which provide the opp...
1 downloads 14 Views 2MB Size
Perspective pubs.acs.org/Macromolecules

Directed Block Copolymer Thin Film Self-Assembly: Emerging Trends in Nanopattern Fabrication Ming Luo and Thomas H. Epps, III* Department of Chemical & Biomolecular Engineering, University of Delaware, Newark, Delaware 19716, United States ABSTRACT: Block copolymers have garnered significant attention in the past few decades due to their ability to selfassemble into nanoscale structures (∼10−100 nm), making them ideal for emerging nanotechnologies, such as nanolithography, nanotemplating, nanoporous membranes, and ultrahigh-density storage media. Many of these applications require thin film geometries, in which the block copolymers form well-ordered nanostructures and/or precisely controlled domain orientations. In this Perspective, we discuss recent progress toward techniques that achieve directed self-assembly of block copolymer thin films. Substrate prepatterning, nanoimprint lithography, molecular transfer printing, solvent treatment, and zone processing approaches are highlighted. Finally, we comment on recent developments in high-throughput and in situ characterization methods, and we provide future research directions for thin film nanostructure refinement.



and applying electric fields,27,28 magnetic fields,29 and shear forces30,31 to direct the self-assembly. Second, sub-10 nm BCP domains are targeted to meet the demands for ever-reducing feature sizes in integrated circuits and data storage media (e.g., 8 nm resolution for dynamic random access memory [DRAM] 1 /2 pitch in 2024),32 but there are relatively few self-assembled BCPs that can achieve these dimensions and maintain their desired application-oriented properties.33,34 In general, selfassembly of small structures requires a low degree of polymerization (N) and a high Flory−Huggins interaction parameter (χ).35,36 However, as domain sizes are reduced, the BCP becomes increasingly susceptible to higher densities of defects.13 For example, the densities of dislocations are expected to scale exponentially with their formation energy Ed, nd ∼ (1/ac2) exp(−Ed/kT), in which Ed scales approximately as a3 (a is the domain spacing).13 New methods to manipulate the self-assembled BCP nanostructures at high resolution with negligible defects are desirable (defect density target of 100 nm) remains challenging because of the limited mobility of long polymer chains, leading to poor lateral ordering. Recently, Kim et al. demonstrated that an ultrahigh molecular weight (1 000 000 g/ mol) PS-b-PMMA thin film with a large period of 200 nm could be directed into well-controlled nanoscale patterns using trench confinement combined with solvent vapor annealing.105 Periodically patterned structures with larger feature sizes (>100 nm) can be anticipated to meet the demands of photonics and F

dx.doi.org/10.1021/ma401112y | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

micrometers).119 However, further fundamental understanding of the effects of solvent field is needed for industrial scaling. In particular, temperature gradients resulting from solvent evaporation must be considered when fabricating BCP films. Finally, solvent interactions with the substrate surface can screen undesirable surface interactions and sometimes lead to film dewetting. Though many applications require stable films with uniform film thickness, the instability of films upon solvent annealing has attracted research interest in directed assembly. Choi et al. demonstrated that the dewetting process could induce hierarchical ordering of perpendicular PEO cylinders in a PS matrix. Manipulation of the film thickness and vapor pressure of solvent during the anneal provided control over the dewetting patterns on micrometer scales, while the order and orientation of BCP domains within the film was tuned by adjusting the relative humidity and solvent vapor pressure.120 Dewetting patterns also can be controlled by combining substrate prepatterning methods such as microcontact printing121 or lithography,122 in which chemical modification of a substrate surface guided the dewetting process of BCP films.123 Using these approaches, highly ordered dewetting patterns could be produced due to film instabilities. Additionally, dewetting normally is considered as a nonequilibrium process, and phase transitions may be induced due to the high curvature of destabilized film droplets.121,124 Understanding factors such as the interactions between polymer blocks, solvent, and substrate, the interplay between the quantized film thickness and the intrinsic BCP length scale, and the possibilities of dewetting (or autophobic dewetting)125,126 are essential for controlling dewetting-assisted assembly of BCP thin films.



Figure 7. SEM images of P2VP-b-PDMS films on patterned substrate after solvent vapor annealing. Line width (number in yellow) of the cylinder periods changes with different solvent vapors and swelling ratios (number in white): (a−c) isopropyl alcohol, (d−f) ethanol, (g− i) ethylene glycol, and (j) methanol. The swelling ratio is swollen thickness during solvent anneal/initial thickness. Reproduced with permission from ref 36.

ZONE PROCESSING Zone processing, including both zone casting and zone annealing, has become a trendy topic for the fabrication of highly ordered BCP thin films. In contrast to conventional (static) annealing processes, zone processing prepares BCP films under conditions in which a concentration gradient or thermal gradient is imposed, and a sharp ordering front is generated, to guide self-assembly. Zone processing also has the potential merit of continuous production of large-scale BCP thin film arrays that meet the demands of modern nanotechnologies. In the following section, zone casting, raster solvent vapor annealing (RSVA), and thermal gradient zone annealing approaches are described in detail, providing insights relevant to the design of new methodologies for directed BCP self-assembly. Zone Casting. Originally, zone casting was utilized to orient small crystalline molecules such as hexabenzocoronene,127 and more recently, Tang et al. found this technique suitable for the preparation of various BCP films including noncrystalline and crystalline BCPs.128,129 In a typical zone casting setup (see Figure 8), polymer solutions are deposited onto a moving substrate using a nozzle. Capillary forces between the nozzle and substrate create a meniscus that moves with the motorized stage at a controlled speed. The evaporating solvent forms a solidification front, and tuning of the solution casting rate, temperature, and substrate withdrawal rate allows BCP assembly and achieves directional ordering. In Tang’s early study, highly ordered thin films of a noncrystalline polyacrylonitrile-b-poly(n-butyl acrylate) (PAN-b-PnBA) BCP were produced with lamellae oriented perpendicular to the substrate surface, and the in-plane lamellar orientation was perpendicular to the casting direction.128 More recently, a

Several works also have demonstrated well-aligned BCP domains by pairing controlled solvent evaporation with additional boundary fields. For example, Sakurai et al. showed that long-range ordering (persisting to tens of micrometers) of perpendicular cylinders could be created by a simple evaporation process, followed by thermal annealing. In their case, a sphere-forming PS-b-polyethylenebutylene-b-PS (SEBS) thin film transformed to a cylinder-containing film as the spheres coalesced into cylinders upon thermal annealing. The directional coalescence of the spheres was the result of the “memory” of the chemical potential gradient induced by solvent evaporation.117 Osuji extended this idea in a method referred to as solvent vapor permeation.118 This technique allowed a heated solvent vapor to permeate through the polymer film, which permitted alignment of cylindrical BCP domains parallel to the solvent flux over millimeter length scales in just an hour. In addition to these directional solvent evaporation processes, Kimura et al. presented a droplet pinning approach, in which the solution droplet was pinned on a tilted substrate and allowed to evaporate slowly. Two orthogonal solvent fields were induced by solvent evaporation: a strong flow of the solution toward the pinned edge and an ordering front initiated at the surface that propagated into the substrate. The coupling of these two fields produced highly oriented and ordered arrays of parallel cylinders over large lateral distances (tens of G

dx.doi.org/10.1021/ma401112y | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

Figure 8. Schematic of zone casting for noncrystalline (A) and crystalline (C, E) BCP thin films; AFM phase images of PS-b-PB film (B) and PODMA-b-PtBA-PODMA film (D, F). Adapted with permission from ref 129.

Figure 9. (A) Schematic of RSVA implementation. (B) Representative optical image of “UD” written on the SIS film where directed solvent vapor annealing was imparted (center). Representative AFM phase images of SIS forming parallel cylinders after single-pass RSVA (left) and perpendicular cylinders after double-pass RSVA (right). Adapted with permission from ref 130.

noncrystalline BCP system of PS-b-polybutadiene (PS-b-PB) with a cylindrical morphology was used, and highly ordered thin films with the cylinders perpendicular to the substrate surface were achieved with zone casting (Figure 8A,B).129 Additionally, studies of a semicrystalline system of lamellarforming poly(octadecyl methacrylate)-b-poly(tert-butyl acrylate)-b-poly(octadecyl methacrylate) (PODMA-b-PtBA-bPODMA) indicated that the in-plane orientation of lamellae was significantly affected by the casting temperature (Figure 8C−F). When the casting temperature was higher than the melting temperature of the PODMA block, the BCP behaved like an amorphous polymer, and domains nucleated along the solidification front (slowly advancing with the withdrawal of substrate). Therefore, in-plane lamellar orientation was perpendicular to the casting direction (Figure 8C,D). When the casting temperature was lower than the melting temperature of polymer, crystallization of PODMA block dominated, and the lamellae aligned along the concentration gradient of polymer solution. Thus, the in-plane lamellar orientation was parallel to the casting direction (Figure 8E,F). Obtaining further fundamental understanding of the competition between nanoscale phase separation and crystallization at the solidification front is crucial for applying the zone casting process to various BCP systems. Raster Solvent Vapor Annealing. An example of a modified zone annealing process, termed raster solvent vapor annealing (RSVA), was recently demonstrated by Seppala et al.130 This technique introduced the capability to easily manipulate the nanoscale ordering and nanostructure orientation in poly(styrene-b-isoprene-b-styrene) (SIS) BCP thin films.130 Spatial control over nanoscale structures was accomplished through the implementation of a solvent vapor delivery nozzle to generate a localized (point) SVA zone, in which tetrahydrofuran (THF) was employed as the annealing solvent vapor stream (Figure 9). Thus, macroscopic patterns of

nanoscale features could be “written” in a controllable fashion into a soft materials system following film deposition. Tunable parameters investigated in the above work included, solvent flux, raster area, and raster speed;130 however, the modular nature of the RSVA method is amenable to additional modifications such as solvent composition, nozzle shape (e.g., slit geometries), substrate temperature, and substrate surface chemistry. Furthermore, the RSVA approach hints at the feasibility of continuous processing of BCP using solvent vapor treatments for various copolymer systems, especially those containing thermally sensitive or thermally responsive components. Thermal Gradient Zone Annealing. Although thermal annealing is one of the most broadly applicable techniques to improve long-range order in BCP thin films, a defect-free pattern is difficult to accomplish with uniform annealing. Many researchers employed thermal annealing with bottom-up approaches, such as graphoepitaxy and chemical patterning, to promote long-range order (as discussed in the former sections). Seminal work on hot zone annealing (HZA) was performed by Hashimoto and co-workers, in which a BCP specimen (bulk) was swept through a sharp temperature gradient.131 As the BCP was heated above the order−disorder transition temperature (TODT) and then quickly cooled below TODT, ordered lamellae were found to align normal to the direction of the moving gradient. Angelescu et al. extended this idea to the design of a thermal gradient zone anneal device that was applicable to BCP thin films.132 Highly ordered PS-bpoly(ethylene-alt-propylene) (PS-b-PEP) parallel cylinders were formed on a square-millimeter size sample without the need for substrate modification. Additionally, the defect density H

dx.doi.org/10.1021/ma401112y | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

Figure 10. (A) Schematic of the CZA-SS apparatus (note: CZA process does not contain a PDMS layer). (B) In-plane and out-of-plane view of the CZA-SS process. (C) AFM images of PS-b-PMMA films after (i) thermal annealing in a conventional vacuum oven at 200 °C for 24 h; (ii) thermal annealing in a conventional vacuum oven with PDMS confinement at 200 °C for 24 h; (iii) CZA annealed at ∇T ∼ 24 °C mm−1, TMAX ∼ 220 °C mm−1, and V = 5 μm/s; (iv) CZA-S annealed at ∇T ∼ 45 °C mm−1, TMAX ∼ 210 °C mm−1, and V = 5 μm/s; (v) CZA-SS annealed at ∇T ∼ 45 °C mm−1, TMAX ∼ 200 °C mm−1, and V = 5 μm/s. Scale bars represent 200 nm. Adapted with permission from ref 135.

produce vertically oriented PS-b-PMMA thin films, which then were used in the fabrication of high-density (5.45 × 1010/ cm2) silicon oxide nanodots.134 As another variant of the CZA process, Singh et al. introduced a thermal field-induced gradient soft-shear process (CZA-SS, Figure 10A,B) to rapidly fabricate unidirectional aligned BCP nanopatterns over large length scales.135 Shear is a well-established means to achieve directional ordering of BCP thin films.30,31,136,137 However, conventional shear alignment typically has been limited to BCP films that were one or two layers thick.132,137,138 In the case of CZA-SS, an elastomeric PDMS layer is placed on top of the BCP film and undergoes directional expansion and contraction due to a dynamic thermal field, thus imposing an oscillatory shear to the underlying BCP film. Using this process, arrays of hexagonally packed and horizontally aligned BCP cylinders can be fabricated in films over a thickness range of 40−1000 nm, with greater than 99% cylinder alignment in CZA-SS direction. Furthermore, this process can be accomplished at relatively high velocities for BCP ordering (V ≈ 0.2 mm/s). This technique is particularly attractive because it is amenable to roll-to-roll processing lines.

was reduced by another order of magnitude through the use of an oscillatory temperature gradient. However, this method was not directly amenable to continuous processing, and the cylinder orientation was not correlated with the direction of the moving front. To rapidly process BCP thin films on a large scale, Jones and co-workers investigated a processing platform, termed “cold zone annealing” (CZA), in which the BCP thin films were annealed using a thermal gradient with Tmax below the order− disorder transition temperature of the copolymer film.47,133 This CZA process affords additional advantages over conventional HZA by allowing the processing of thermally sensitive materials and high-molecular weight BCPs that possess a high TODT. A schematic of a modified CZA apparatus is shown in Figure 10A,B ( note: the PDMS layer shown in the f igure is not used in traditional CZA). The temperature gradient is established using two cooling reservoirs placed on either side of a temperature-controlled heating block. The blocks are laterally separated from each other by a thin air gap. In one specific case, PS-b-PMMA BCP films were placed on either rigid or flexible substrates and translated across the thermal zones at controlled velocities using a motorized push arm. Enhanced ordering kinetics (5−30 μm2 grains of parallel cylinders in less than 5 h) were found due to the in-plane temperature gradient, and an orientation bias was noted due to the motion of a thermal zone and/or directional heat flux. Furthermore, a sharp thermal gradient (∇T ∼ 45 °C mm−1) (CZA-S) was applied to the BCP thin films, and well-packed perpendicular cylinders were formed (Figure 10C iv), in contrast to the parallel cylinders formed by a shallow thermal gradient (∇T ∼ 24 °C mm−1).46 This CZA-S process demonstrated the ability to generate well-ordered perpendicular cylindrical domains of PS-b-PMMA films with a wide range of film thickness (100−1000 nm) on either rigid (quartz) or flexible (PDMS, Kapton) substrates. More recently, a largescale roll-to-roll CZA-S process was reported to rapidly



CONCLUSIONS AND OUTLOOK

The ability to fabricate nanostructures with high precision and well-defined orientations in a plethora of soft materials is of crucial importance to the advancement of nanotechnology. BCPs have become attractive candidates as they self-assemble into various nanostructures (e.g., spheres, cylinders, gyroid, lamellae) on the order of 5−100 nm. However, conventional (“untemplated”) BCP self-assembly, with its inherent propensity for defects, generally is insufficient for precision and largearea nanofabrication. Many techniques have been developed to guide the BCP self-assembly, with varying degrees of success. This Perspective has discussed several of the promising strategies for directing the self-assembly of BCP thin films that lead to greater control over in-plane and out-of-plane I

dx.doi.org/10.1021/ma401112y | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

combined with new theoretical and computational studies, will help to further our understanding and utilization of BCP thin film self-assembly.151 Finally, researchers have devoted significant efforts to the design of more complex materials, such as liquid crystal block copolymers,43 multiblock copolymers,152−155 tapered block copolymers,156−159 and cyclic copolymers,160 that portend very interesting alignment behavior and nanoscale structures for nanopatterning applications. For example, chromophore molecules such as azobenzenes (AZs), which are well-known for photoinduced alignment, have been combined with new synthetic routes to allow researchers to incorporate AZ moieties into a variety of BCP backbones to achieve directed assembly.75,161 ABC block copolymers also are promising constructs.74,152,153,162−164 A third block greatly enlarges nanostructure diversity and allows the incorporation of unique chemical functionalities. Various three-dimensional network structures that emerged from triblock systems (bulk) have been characterized by several research groups,158,162,164−167 but many of these structures have yet to be noted in thin film systems. Additionally, the fabrication of three-dimensional thin film scaffolds, though demonstrated in this Perspective, is only beginning to be scientifically exploited.81,83,168,169 Further efforts in these areas, as well as others described throughout this Perspective, will ultimately aid in the design of novel nanomaterials systems for next-generation thin film applications.

nanostructure ordering. We also highlighted techniques (nanoimprinting, molecular transfer printing, zone casting, and zone annealing) that enable the rapid fabrication of high-density ordered arrays for the purpose of realization in industry fields. We believe this Perspective will provide guidance to researchers in the development of complex, yet practical, thin film nanodevice systems. Future work will continue on many of these techniques to reduce residual defects and defect densities to achieve nearperfect crystalline order, to enhance the resolution of soft materials nanopatterning into the sub-10 nm range, to increase the speed of orientation and alignment processes, to simplify or reduce processing steps such that manufacturing times are less than minutes, and to increase the patternable areas of the oriented structures. Concurrent with these efforts, the development and refinement of high-resolution, high-throughput, and in situ characterization techniques are crucial to increasing our understanding of self-assembly in BCP thin films. Continued development of AFM, SEM, and transmission electron microscopy (TEM) imaging capabilities enables the characterization of individual defects, complex nanostructures, and interface properties at sub-nanometer resolution. These methods are being combined with mathematical algorithms to quantify the degrees of domain ordering, identify grain boundaries, and pinpoint defects.139−142 However, the major limitation of slow sample preparation and/or imaging speeds still needs to be overcome. Recent commercialization of fast AFM has achieved imaging speeds of ∼1 s without loss of resolution, and the technique shows promise as a means to study the dynamic ordering processes of BCP self-assembly. Notable progress also has been made in scattering methods. Grazing incidence small-angle X-ray scattering (GISAXS) has become a versatile tool for the characterization of near-surface and internal nanostructures without complicated sample preparation procedures.18,56,143−145 The high temporal resolution of 10−3−1 s also makes GISAXS particularly suitable for studying dynamic ordering processes.146 Several research groups have employed time-resolved GISAXS to investigate BCP thin film phase behavior under solvent vapor annealing.110,147,148 Recently, microbeam GISAXS (μGISAXS) has been exploited to obtain local structural information in thin films.147 As opposed to conventional GISAXS that has an X-ray beam of diameter 100−500 μm and probes large areas of the film surface due to the low incident angle, μGISAXS reduces the sampling size down to a few micrometers (even submicrometer in some cases) to capture more localized behavior. We anticipate that this technique can be employed in a variety of systems for the position-sensitive mapping of 2D and 3D morphologies as a function of film thickness, surface roughness, substrate heterogeneity, etc. Resonant soft X-ray scattering (RSOXS) is another emerging technique that has been employed to investigate BCP thin film ordering. Virgili et al. demonstrated the lateral ordering of sphere- and cylinderforming PS-b-PI thin films over large sample areas (∼9000 μm2) by exploiting the difference in carbon π* resonances between the PS and PI blocks.149 Because X-ray wavelengths can be tuned in RSOXS, detailed information can be obtained on many complex BCP thin film systems. For instance, Wang et al. successfully identified a core−shell morphology of P2VP cores with PS shells in PI-b-PS-b-P2VP thin films, while “hard” X-ray scattering techniques only revealed hexagonal packing information.150 These and future experimental efforts, when



AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]. Notes

The authors declare no competing financial interest. Biographies

Ming Luo received his B.S. in Chemical and Biological Engineering from Zhejiang University (China) in 2010. Currently, he is pursuing his Ph.D. in the Chemical and Biomolecular Engineering Department at the University of Delaware advised by Prof. Thomas H. Epps, III. His thesis research explores film thickness and surface interaction effects on block copolymer thin film phase behavior. J

dx.doi.org/10.1021/ma401112y | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

(16) Segalman, R. A. Mater. Sci. Eng., R 2005, 48, 191−226. (17) Marencic, A. P.; Register, R. A. Annu. Rev. Chem. Biomol. Eng. 2010, 1, 277−297. (18) Luo, M.; Seppala, J. E.; Albert, J. N. L.; Lewis, R. L.; Mahadevapuram, N.; Stein, G. E.; Epps, T. H., III Macromolecules 2013, 46, 1803−1811. (19) Bates, C. M.; Strahan, J. R.; Santos, L. J.; Mueller, B. K.; Bamgbade, B. O.; Lee, J. A.; Katzenstein, J. M.; Ellison, C. J.; Willson, C. G. Langmuir 2011, 27, 2000−2006. (20) Moon, H.-S.; Shin, D. O.; Kim, B. H.; Jin, H. M.; Lee, S.; Lee, M. G.; Kim, S. O. J. Mater. Chem. 2012, 22, 6307−6310. (21) Stoykovich, M. P.; Nealey, P. F. Mater. Today 2006, 9, 20−29. (22) Bang, J.; Jeong, U.; Ryu, D. Y.; Russell, T. P.; Hawker, C. J. Adv. Mater. 2009, 21, 4769−4792. (23) Cheng, J. Y.; Ross, C. A.; Smith, H. I.; Thomas, E. L. Adv. Mater. 2006, 18, 2505−2521. (24) Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Adv. Mater. 2001, 13, 1152−1155. (25) Stoykovich, M. P.; Müller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Science 2005, 308, 1442− 1446. (26) Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424, 411−414. (27) Olszowka, V.; Tsarkova, L.; Boker, A. Soft Matter 2009, 5, 812− 819. (28) Thurn-Albrecht, T.; Schotter, J.; Kästle, G. A.; Emley, N.; Shibauchi, T.; Krusin-Elbaum, L.; Guarini, K.; Black, C. T.; Tuominen, M. T.; Russell, T. P. Science 2000, 290, 2126−2129. (29) Majewski, P. W.; Gopinadhan, M.; Osuji, C. O. J. Polym. Sci., Part B: Polym. Phys. 2012, 50, 2−8. (30) Pujari, S.; Keaton, M. A.; Chaikin, P. M.; Register, R. A. Soft Matter 2012, 8, 5358−5363. (31) Marencic, A. P.; Chaikin, P. M.; Register, R. A. Phys. Rev. E 2012, 86, 021507. (32) International Technology Roadmap for Semiconductors, 2012; http://www.itrs.net. (33) Park, S.-M.; Liang, X.; Harteneck, B. D.; Pick, T. E.; Hiroshiba, N.; Wu, Y.; Helms, B. A.; Olynick, D. L. ACS Nano 2011, 5, 8523− 8531. (34) Son, J. G.; Chang, J.-B.; Berggren, K. K.; Ross, C. A. Nano Lett. 2011, 11, 5079−5084. (35) Cushen, J. D.; Bates, C. M.; Rausch, E. L.; Dean, L. M.; Zhou, S. X.; Willson, C. G.; Ellison, C. J. Macromolecules 2012, 45, 8722−8728. (36) Jeong, J. W.; Park, W. I.; Kim, M.-J.; Ross, C. A.; Jung, Y. S. Nano Lett. 2011, 11, 4095−4101. (37) International Technology Roadmap for Semiconductors, 2007; http://www.itrs.net. (38) Cheng, J. Y.; Mayes, A. M.; Ross, C. A. Nat. Mater. 2004, 3, 823−828. (39) Han, E.; Leolukman, M.; Kim, M.; Gopalan, P. ACS Nano 2010, 4, 6527−6534. (40) Edwards, E. W.; Montague, M. F.; Solak, H. H.; Hawker, C. J.; Nealey, P. F. Adv. Mater. 2004, 16, 1315−1319. (41) Tada, Y.; Yoshida, H.; Ishida, Y.; Hirai, T.; Bosworth, J. K.; Dobisz, E.; Ruiz, R.; Takenaka, M.; Hayakawa, T.; Hasegawa, H. Macromolecules 2011, 45, 292−304. (42) Park, S.; Kim, B.; Xu, J.; Hofmann, T.; Ocko, B. M.; Russell, T. P. Macromolecules 2009, 42, 1278−1284. (43) Yu, H.; Li, J.; Ikeda, T.; Iyoda, T. Adv. Mater. 2006, 18, 2213− 2215. (44) Wang, J.; de Jeu, W. H.; Speiser, M.; Kreyes, A.; Ziener, U.; Magerl, D.; Philipp, M.; Muller-Buschbaum, P.; Moller, M.; Mourran, A. Soft Matter 2013, 9, 1337−1343. (45) Thurn-Albrecht, T.; DeRouchey, J.; Russell, T. P.; Kolb, R. Macromolecules 2002, 35, 8106−8110. (46) Singh, G.; Yager, K. G.; Smilgies, D.-M.; Kulkarni, M. M.; Bucknall, D. G.; Karim, A. Macromolecules 2012, 45, 7107−7117. (47) Berry, B. C.; Bosse, A. W.; Douglas, J. F.; Jones, R. L.; Karim, A. Nano Lett. 2007, 7, 2789−2794.

Thomas H. Epps, III, holds the Thomas & Kipp Gutshall Chair of Chemical and Biomolecular Engineering at the University of Delaware. He received a B.S. (1998) from the Massachusetts Institute of Technology and a Ph.D. (2004) from the University of Minnesota, both in chemical engineering. His research focuses on the design, synthesis, and nanoscale characterization of soft materials exhibiting self-assembly in bulk, thin film, and solution environments. He has received several awards, including a DuPont Young Professor Award, Department of Defense PECASE Award, Air Force Young Investigator Award, and NSF CAREER Award.



ACKNOWLEDGMENTS The authors acknowledge support from the National Science Foundation (NSF DMR-1207041), a Martin Luther King Jr. Visiting Professor Award from MIT to T.H.E., and a DuPont Young Professor Award to T.H.E. during the writing of this Perspective article. We thank Prof. Jennifer Heinen for helpful discussions.



REFERENCES

(1) Zschech, D.; Kim, D. H.; Milenin, A. P.; Scholz, R.; Hillebrand, R.; Hawker, C. J.; Russell, T. P.; Steinhart, M.; Gösele, U. Nano Lett. 2007, 7, 1516−1520. (2) Ruiz, R.; Kang, H.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Science 2008, 321, 936− 939. (3) Chai, J.; Buriak, J. M. ACS Nano 2008, 2, 489−501. (4) Jung, Y. S.; Jung, W.; Tuller, H. L.; Ross, C. A. Nano Lett. 2008, 8, 3776−3780. (5) Bang, J.; Kim, S. H.; Drockenmuller, E.; Misner, M. J.; Russell, T. P.; Hawker, C. J. J. Am. Chem. Soc. 2006, 128, 7622−7629. (6) Yang, S. Y.; Ryu, I.; Kim, H. Y.; Kim, J. K.; Jang, S. K.; Russell, T. P. Adv. Mater. 2006, 18, 709−712. (7) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Science 2009, 323, 1030−1033. (8) Xiao, S.; Yang, X.; Park, S.; Weller, D.; Russell, T. P. Adv. Mater. 2009, 21, 2516−2519. (9) Naito, K.; Hieda, H.; Sakurai, M.; Kamata, Y.; Asakawa, K. IEEE Trans. Magn. 2002, 38, 1949−1951. (10) IBM Brings Nature to Computer Chip Manufacturing; IBM Press Release May 3, 2007. (11) HGST Reaches 10-Nanometer Patterned-bit Milestone, Nanotechnology Process Will Double Today’s Disk Drive Data Density; HGST Press Release February 28, 2013. (12) Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.; Berggren, K. K. Science 2008, 321, 939−943. (13) Mishra, V.; Fredrickson, G. H.; Kramer, E. J. ACS Nano 2012, 6, 2629−2641. (14) Albert, J. N. L.; Epps, T. H., III. Mater. Today 2010, 13, 24−33. (15) Fasolka, M. J.; Mayes, A. M. Annu. Rev. Mater. Res. 2001, 31, 323−355. K

dx.doi.org/10.1021/ma401112y | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

(48) Kim, S. H.; Misner, M. J.; Yang, L.; Gang, O.; Ocko, B. M.; Russell, T. P. Macromolecules 2006, 39, 8473−8479. (49) Mykhaylyk, T. A.; Mykhaylyk, O. O.; Collins, S.; Hamley, I. W. Macromolecules 2004, 37, 3369−3377. (50) Park, C.; Yoon, J.; Thomas, E. L. Polymer 2003, 44, 6725−6760. (51) Darling, S. B. Prog. Polym. Sci. 2007, 32, 1152−1204. (52) Park, S. M.; Stoykovich, M. P.; Ruiz, R.; Zhang, Y.; Black, C. T.; Nealey, P. F. Adv. Mater. 2007, 19, 607−611. (53) Sundrani, D.; Darling, S. B.; Sibener, S. J. Nano Lett. 2003, 4, 273−276. (54) Sundrani, D.; Darling, S. B.; Sibener, S. J. Langmuir 2004, 20, 5091−5099. (55) Fitzgerald, T. G.; Borsetto, F.; O’Callaghan, J. M.; Kosmala, B.; Holmes, J. D.; Morris, M. A. Soft Matter 2007, 3, 916−921. (56) Stein, G. E.; Kramer, E. J.; Li, X.; Wang, J. Phys. Rev. Lett. 2007, 98, 086101. (57) Hamley, I. W. Prog. Polym. Sci. 2009, 34, 1161−1210. (58) Yamaguchi, T.; Yamaguchi, H. Adv. Mater. 2008, 20, 1684− 1689. (59) Sang-Min, P.; Oun-Ho, P.; Joy, Y. C.; Charles, T. R.; Ho-Cheol, K. Nanotechnology 2008, 19, 455304. (60) Kim, W. S.; Jia, L.; Thomas, E. L. Adv. Mater. 2009, 21, 1921− 1926. (61) Han, E.; Kang, H.; Liu, C.-C.; Nealey, P. F.; Gopalan, P. Adv. Mater. 2010, 22, 4325−4329. (62) Detcheverry, F. o. A.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2010, 43, 6495−6504. (63) Park, S.-M.; Rettner, C. T.; Pitera, J. W.; Kim, H.-C. Macromolecules 2009, 42, 5895−5899. (64) Hong, S. W.; Voronov, D. L.; Lee, D. H.; Hexemer, A.; Padmore, H. A.; Xu, T.; Russell, T. P. Adv. Mater. 2012, 24, 4278− 4283. (65) Hong, S. W.; Huh, J.; Gu, X.; Lee, D. H.; Jo, W. H.; Park, S.; Xu, T.; Russell, T. P. Proc. Natl. Acad. Sci. U. S. A. 2012, 109, 1402−1406. (66) Aissou, K.; Shaver, J.; Fleury, G.; Pécastaings, G.; Brochon, C.; Navarro, C.; Grauby, S.; Rampnoux, J.-M.; Dilhaire, S.; Hadziioannou, G. Adv. Mater. 2013, 25, 213−217. (67) Yu, H.; Iyoda, T.; Ikeda, T. J. Am. Chem. Soc. 2006, 128, 11010− 11011. (68) Zhao, Y.; He, J. Soft Matter 2009, 5, 2686−2693. (69) Wilmes, G. M.; Durkee, D. A.; Balsara, N. P.; Liddle, J. A. Macromolecules 2006, 39, 2435−2437. (70) Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C.-C.; de Pablo, J. J.; Müller, M.; Nealey, P. F. ACS Nano 2007, 1, 168−175. (71) Welander, A. M.; Kang, H.; Stuen, K. O.; Solak, H. H.; Müller, M.; de Pablo, J. J.; Nealey, P. F. Macromolecules 2008, 41, 2759−2761. (72) Detcheverry, F. o. A.; Liu, G.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2010, 43, 3446−3454. (73) Kang, H.; Craig, G. S. W.; Han, E.; Gopalan, P.; Nealey, P. F. Macromolecules 2011, 45, 159−164. (74) Son, J. G.; Gwyther, J.; Chang, J.-B.; Berggren, K. K.; Manners, I.; Ross, C. A. Nano Lett. 2011, 11, 2849−2855. (75) Morikawa, Y.; Kondo, T.; Nagano, S.; Seki, T. Chem. Mater. 2007, 19, 1540−1542. (76) Terris, B. D.; Thomson, T. J. Phys. D: Appl. Phys. 2005, 38, R199. (77) Black, C. T.; Guarini, K. W.; Zhang, Y.; Kim, H. J.; Benedict, J.; Sikorski, E.; Babich, I. V.; Milkove, K. R. IEEE Electron Device Lett. 2004, 25, 622−624. (78) Zhu, H.; Li, Q. L.; Yuan, H.; Baumgart, H.; Ioannou, D. E.; Richter, C. A. Solid-State Electron. 2012, 78, 92−96. (79) Black, C. T. Appl. Phys. Lett. 2005, 87, 163116. (80) Yang, J. K. W.; Jung, Y. S.; Chang, J. B.; Mickiewicz, R. A.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Nat. Nanotechnol. 2010, 5, 256−260. (81) Tavakkoli, K. G. A.; Gotrik, K. W.; Hannon, A. F.; AlexanderKatz, A.; Ross, C. A.; Berggren, K. K. Science 2012, 336, 1294−1298. (82) Matsen, M. W. Macromolecules 2012, 45, 2161−2165.

(83) Jung, H.; Hwang, D.; Kim, E.; Kim, B.-J.; Lee, W. B.; Poelma, J. E.; Kim, J.; Hawker, C. J.; Huh, J.; Ryu, D. Y.; Bang, J. ACS Nano 2011, 5, 6164−6173. (84) Rose, F.; Bosworth, J. K.; Dobisz, E. A.; Ruiz, R. Nanotechnology 2011, 22, 035603. (85) Kim, E.; Shin, C.; Ahn, H.; Ryu, D. Y.; Bang, J.; Hawker, C. J.; Russell, T. P. Soft Matter 2008, 4, 475−479. (86) Ahn, S. H.; Guo, L. J. ACS Nano 2009, 3, 2304−2310. (87) Ofir, Y.; Moran, I. W.; Subramani, C.; Carter, K. R.; Rotello, V. M. Adv. Mater. 2010, 22, 3608−3614. (88) Park, J. Y.; Hendricks, N. R.; Carter, K. R. Langmuir 2011, 27, 11251−11258. (89) Hu, Z.; Jonas, A. M. Soft Matter 2010, 6, 21−28. (90) Guo, L. J. Adv. Mater. 2007, 19, 495−513. (91) Nie, Z. H.; Kumacheva, E. Nat. Mater. 2008, 7, 277−290. (92) Li, H.-W.; Huck, W. T. S. Nano Lett. 2004, 4, 1633−1636. (93) Man, X.; Andelman, D.; Orland, H.; Thébault, P.; Liu, P.-H.; Guenoun, P.; Daillant, J.; Landis, S. Macromolecules 2011, 44, 2206− 2211. (94) Jung, G.-Y.; Li, Z.; Wu, W.; Chen, Y.; Olynick, D. L.; Wang, S.Y.; Tong, W. M.; Williams, R. S. Langmuir 2005, 21, 1158−1161. (95) Hu, Z.; Finlay, J. A.; Chen, L.; Betts, D. E.; Hillmyer, M. A.; Callow, M. E.; Callow, J. A.; DeSimone, J. M. Macromolecules 2009, 42, 6999−7007. (96) Voet, V. S. D.; Pick, T. E.; Park, S.-M.; Moritz, M.; Hammack, A. T.; Urban, J. J.; Ogletree, D. F.; Olynick, D. L.; Helms, B. A. J. Am. Chem. Soc. 2011, 133, 2812−2815. (97) Ji, S.; Liu, C.-C.; Liu, G.; Nealey, P. F. ACS Nano 2009, 4, 599− 609. (98) Albert, J. N. L.; Bogart, T. D.; Lewis, R. L.; Beers, K. L.; Fasolka, M. J.; Hutchison, J. B.; Vogt, B. D.; Epps, T. H., III. Nano Lett. 2011, 11, 1351−1357. (99) Albert, J. N. L.; Young, W. S.; Lewis, R. L.; Bogart, T. D.; Smith, J. R.; Epps, T. H., III ACS Nano 2012, 6, 459−466. (100) Cavicchi, K. A.; Russell, T. P. Macromolecules 2007, 40, 1181− 1186. (101) Knoll, A.; Magerle, R.; Krausch, G. J. Chem. Phys. 2004, 120, 1105−1116. (102) Bang, J.; Kim, B. J.; Stein, G. E.; Russell, T. P.; Li, X.; Wang, J.; Kramer, E. J.; Hawker, C. J. Macromolecules 2007, 40, 7019−7025. (103) Phillip, W. A.; Hillmyer, M. A.; Cussler, E. L. Macromolecules 2010, 43, 7763−7770. (104) Zhang, X.; Douglas, J. F.; Jones, R. L. Soft Matter 2012, 8, 4980−4987. (105) Kim, E.; Ahn, H.; Park, S.; Lee, H.; Lee, M.; Lee, S.; Kim, T.; Kwak, E.-A.; Lee, J. H.; Lei, X.; Huh, J.; Bang, J.; Lee, B.; Ryu, D. Y. ACS Nano 2013, 7, 1952−1960. (106) Yoon, J.; Mathers, R. T.; Coates, G. W.; Thomas, E. L. Macromolecules 2006, 39, 1913−1919. (107) Kelly, J. Y.; Albert, J. N. L.; Howarter, J. A.; Stafford, C. M.; Epps, T. H., III; Fasolka, M. J. J. Polym. Sci., Part B: Polym. Phys. 2012, 50, 263−271. (108) Kelly, J. Y.; Albert, J. N. L.; Howarter, J. A.; Kang, S.; Stafford, C. M.; Epps, T. H., III; Fasolka, M. J. ACS Appl. Mater. Interfaces 2010, 2, 3241−3248. (109) Hsieh, I. F.; Sun, H.-J.; Fu, Q.; Lotz, B.; Cavicchi, K. A.; Cheng, S. Z. D. Soft Matter 2012, 8, 7937−7944. (110) Paik, M. Y.; Bosworth, J. K.; Smilges, D.-M.; Schwartz, E. L.; Andre, X.; Ober, C. K. Macromolecules 2010, 43, 4253−4260. (111) Bates, F. S.; Fredrickson, G. H. Annu. Rev. Phys. Chem. 1990, 41, 525−557. (112) Kim, G.; Libera, M. Macromolecules 1998, 31, 2569−2577. (113) Mokarian-Tabari, P.; Collins, T. W.; Holmes, J. D.; Morris, M. A. ACS Nano 2011, 5, 4617−4623. (114) Di, Z.; Posselt, D.; Smilgies, D.-M.; Papadakis, C. M. Macromolecules 2010, 43, 418−427. (115) Wang, Y.; Hong, X.; Liu, B.; Ma, C.; Zhang, C. Macromolecules 2008, 41, 5799−5808. L

dx.doi.org/10.1021/ma401112y | Macromolecules XXXX, XXX, XXX−XXX

Macromolecules

Perspective

(116) Di, Z.; Posselt, D.; Smilgies, D.-M.; Li, R.; Rauscher, M.; Potemkin, I. I.; Papadakis, C. M. Macromolecules 2012, 45, 5185− 5195. (117) Sakurai, S.; Bando, H.; Yoshida, H.; Fukuoka, R.; Mouri, M.; Yamamoto, K.; Okamoto, S. Macromolecules 2009, 42, 2115−2121. (118) Osuji, C. O. Macromolecules 2010, 43, 3132−3135. (119) Kimura, M.; Misner, M. J.; Xu, T.; Kim, S. H.; Russell, T. P. Langmuir 2003, 19, 9910−9913. (120) Choi, S. Y.; Lee, C.; Lee, J. W.; Park, C.; Kim, S. H. Macromolecules 2012, 45, 1492−1498. (121) Kim, T. H.; Hwang, J.; Hwang, W. S.; Huh, J.; Kim, H. C.; Kim, S. H.; Hong, J. M.; Thomas, E. L.; Park, C. Adv. Mater. 2008, 20, 522−527. (122) Baralia, G. G.; Filiâtre, C.; Nysten, B.; Jonas, A. M. Adv. Mater. 2007, 19, 4453−4459. (123) Huh, J.; Park, C. Controlled Multiscale Dewetting of Self Organized Block Copolymers. In Manipulation of Nanoscale Materials: An Introduction to Nanoarchitectonics; Ariga, K., Ed.; The Royal Society of Chemistry: London, 2012; pp 28−86. (124) Farrell, R. A.; Kehagias, N.; Shaw, M. T.; Reboud, V.; Zelsmann, M.; Holmes, J. D.; Sotomayor Torres, C. M.; Morris, M. A. ACS Nano 2011, 5, 1073−1085. (125) Limary, R.; Green, P. F. Phys. Rev. E 2002, 66, 021601. (126) Epps, T. H., III; DeLongchamp, D. M.; Fasolka, M. J.; Fischer, D. A.; Jablonski, E. L. Langmuir 2007, 23, 3355−3362. (127) Tracz, A.; Jeszka, J. K.; Watson, M. D.; Pisula, W.; Müllen, K.; Pakula, T. J. Am. Chem. Soc. 2003, 125, 1682−1683. (128) Tang, C.; Tracz, A.; Kruk, M.; Zhang, R.; Smilgies, D.-M.; Matyjaszewski, K.; Kowalewski, T. J. Am. Chem. Soc. 2005, 127, 6918− 6919. (129) Tang, C.; Wu, W.; Smilgies, D.-M.; Matyjaszewski, K.; Kowalewski, T. J. Am. Chem. Soc. 2011, 133, 11802−11809. (130) Seppala, J. E.; Lewis, R. L., III; Epps, T. H., III. ACS Nano 2012, 6, 9855−9862. (131) Hashimoto, T.; Bodycomb, J.; Funaki, Y.; Kimishima, K. Macromolecules 1999, 32, 952−954. (132) Angelescu, D. E.; Waller, J. H.; Adamson, D. H.; Register, R. A.; Chaikin, P. M. Adv. Mater. 2007, 19, 2687−2690. (133) Yager, K. G.; Fredin, N. J.; Zhang, X.; Berry, B. C.; Karim, A.; Jones, R. L. Soft Matter 2010, 6, 92−99. (134) Singh, G.; Batra, S.; Zhang, R.; Yuan, H.; Yager, K. G.; Cakmak, M.; Berry, B.; Karim, A. ACS Nano 2013, 7, 5291−5299. (135) Singh, G.; Yager, K. G.; Berry, B.; Kim, H.-C.; Karim, A. ACS Nano 2012, 6, 10335−10342. (136) Wu, M. W.; Register, R. A.; Chaikin, P. M. Phys. Rev. E 2006, 74, 040801. (137) Angelescu, D. E.; Waller, J. H.; Adamson, D. H.; Deshpande, P.; Chou, S. Y.; Register, R. A.; Chaikin, P. M. Adv. Mater. 2004, 16, 1736−1740. (138) Angelescu, D. E.; Waller, J. H.; Register, R. A.; Chaikin, P. M. Adv. Mater. 2005, 17, 1878−1881. (139) Kim, S. H.; Misner, M. J.; Xu, T.; Kimura, M.; Russell, T. P. Adv. Mater. 2004, 16, 226−231. (140) Segalman, R. A.; Hexemer, A.; Kramer, E. J. Macromolecules 2003, 36, 6831−6839. (141) Segalman, R. A.; Hexemer, A.; Hayward, R. C.; Kramer, E. J. Macromolecules 2003, 36, 3272−3288. (142) Harrison, C.; Cheng, Z.; Sethuraman, S.; Huse, D. A.; Chaikin, P. M.; Vega, D. A.; Sebastian, J. M.; Register, R. A.; Adamson, D. H. Phys. Rev. E 2002, 66, 011706. (143) Lee, B.; Park, I.; Yoon, J.; Park, S.; Kim, J.; Kim, K.-W.; Chang, T.; Ree, M. Macromolecules 2005, 38, 4311−4323. (144) Vu, T.; Mahadevapuram, N.; Perera, G. M.; Stein, G. E. Macromolecules 2011, 44, 6121−6127. (145) Olsen, B. D.; Li, X.; Wang, J.; Segalman, R. A. Soft Matter 2009, 5, 182−192. (146) Jiang, Z.; Li, X.; Strzalka, J.; Sprung, M.; Sun, T.; Sandy, A. R.; Narayanan, S.; Lee, D. R.; Wang, J. J. Synchrotron Radiat. 2012, 19, 627−636.

(147) Körstgens, V.; Wiedersich, J.; Meier, R.; Perlich, J.; Roth, S.; Gehrke, R.; Müller-Buschbaum, P. Anal. Bioanal. Chem. 2010, 396, 139−149. (148) Gowd, E. B.; Marcus, B.; Manfred, S. IOP Conf. Ser.: Mater. Sci. Eng. 2010, 14, 012015. (149) Virgili, J. M.; Tao, Y.; Kortright, J. B.; Balsara, N. P.; Segalman, R. A. Macromolecules 2007, 40, 2092−2099. (150) Wang, C.; Lee, D. H.; Hexemer, A.; Kim, M. I.; Zhao, W.; Hasegawa, H.; Ade, H.; Russell, T. P. Nano Lett. 2011, 11, 3906−3911. (151) Epps, T. H., III; Mahanthappa, M. K. J. Polym. Sci., Part B: Polym. Phys. 2013, 51, 461−462. (152) Ludwigs, S.; Boker, A.; Voronov, A.; Rehse, N.; Magerle, R.; Krausch, G. Nat. Mater. 2003, 2, 744−747. (153) Lee, D. H.; Park, S.; Gu, W.; Russell, T. P. ACS Nano 2011, 5, 1207−1214. (154) van Zoelen, W.; ten Brinke, G. Soft Matter 2009, 5, 1568− 1582. (155) Bates, F. S.; Hillmyer, M. A.; Lodge, T. P.; Bates, C. M.; Delaney, K. T.; Fredrickson, G. H. Science 2012, 336, 434−440. (156) Mastroianni, S. E.; Epps, T. H., III Langmuir 2013, 29, 3864− 3878. (157) Roy, R.; Park, J. K.; Young, W. S.; Mastroianni, S. E.; Tureau, M. S.; Epps, T. H., III Macromolecules 2011, 44, 3910−3915. (158) Kuan, W. F.; Roy, R.; Rong, L. X.; Hsiao, B. S.; Epps, T. H., III ACS Macro Lett. 2012, 1, 519−523. (159) Zhang, W.; Allgaier, J.; Zorn, R.; Willbold, S. Macromolecules 2013, 46, 3931−3938. (160) Poelma, J. E.; Ono, K.; Miyajima, D.; Aida, T.; Satoh, K.; Hawker, C. J. ACS Nano 2012, 6, 10845−10854. (161) Fernández, R.; Zalakain, I.; Ramos, J. A.; Martin, L.; Mondragon, I. Eur. Polym. J. 2011, 47, 1176−1185. (162) Tureau, M. S.; Rong, L. X.; Hsiao, B. S.; Epps, T. H., III Macromolecules 2010, 43, 9039−9048. (163) Tureau, M. S.; Epps, T. H., III Macromolecules 2012, 45, 8347− 8355. (164) Epps, T. H., III; Cochran, E. W.; Bailey, T. S.; Waletzko, R. S.; Hardy, C. M.; Bates, F. S. Macromolecules 2004, 37, 8325−8341. (165) Bailey, T. S.; Hardy, C. M.; Epps, T. H., III; Bates, F. S. Macromolecules 2002, 35, 7007−7017. (166) Epps, T. H., III; Chatterjee, J.; Bates, F. S. Macromolecules 2005, 38, 8775−8784. (167) Tureau, M. S.; Epps, T. H., III Macromol. Rapid Commun. 2009, 30, 1751−1755. (168) Daoulas, K. C.; Müller, M.; Stoykovich, M. P.; Park, S.-M.; Papakonstantopoulos, Y. J.; de Pablo, J. J.; Nealey, P. F.; Solak, H. H. Phys. Rev. Lett. 2006, 96, 036104. (169) Peinemann, K. V.; Abetz, V.; Simon, P. F. W. Nat. Mater. 2007, 6, 992−996.

M

dx.doi.org/10.1021/ma401112y | Macromolecules XXXX, XXX, XXX−XXX