Directed Self-Assembly and Pattern Transfer of ... - ACS Publications

Jul 12, 2017 - Media Research Center, Seagate Technology, 47488 Kato Road, Fremont, California 94538, United States. ∇. Lam Research Corporation ...
0 downloads 0 Views 7MB Size
Subscriber access provided by AUSTRALIAN NATIONAL UNIV

Article

Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae Austin P. Lane, XiaoMin Yang, Michael J. Maher, Gregory Blachut, Yusuke Asano, Yasunobu Someya, Akhila Mallavarapu, Stephen M. Sirard, Christopher J Ellison, and C. Grant Willson ACS Nano, Just Accepted Manuscript • DOI: 10.1021/acsnano.7b02698 • Publication Date (Web): 12 Jul 2017 Downloaded from http://pubs.acs.org on July 13, 2017

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Nano is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae Austin P. Lane†, XiaoMin Yang§, Michael J. Maher‡, Gregory Blachut∫†, Yusuke Asano‡, Yasunobu Someya‡, Akhila Mallavarapuθ, Stephen M. Sirard∫, Christopher J. Ellison†,#, C. Grant Willson‡,† †

McKetta Department of Chemical Engineering, University of Texas at Austin, Austin, Texas

78712, United States ‡

Department of Chemistry, University of Texas at Austin, Austin, Texas 78712, United States

θ

Department of Mechanical Engineering, University of Texas at Austin, Austin, Texas

78712, United States #

Department of Chemical Engineering and Materials Science, University of Minnesota,

Minneapolis, MN 55455, United States §

Media Research Center, Seagate Technology, 47488 Kato Road, Fremont, California 94538,

United States ∫

Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538, United States

KEYWORDS: directed self-assembly, block copolymer, lithography, bit-patterned media, nanopatterning, nanoimprint lithography

ACS Paragon Plus Environment

1

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 28

TOC Figure

Abstract

The directed self-assembly (DSA) and pattern transfer of poly(5-vinyl-1,3-benzodioxoleblock-pentamethyldisilylstyrene) (PVBD-b-PDSS) is reported. Lamellae-forming PVBD-bPDSS can form well resolved 5 nm (half-pitch) features in thin films with high etch selectivity. Reactive ion etching was used to selectively remove the PVBD block and fingerprint patterns were subsequently transferred into an underlying chromium hard mask and carbon layer. DSA of the block copolymer (BCP) features resulted from orienting PVBD-b-PDSS on guidelines patterned by nanoimprint lithography. A density multiplication factor of 4x was achieved through a hybrid chemo-/grapho-epitaxy process. Cross-sectional scanning tunneling electron microscopy/electron energy loss spectroscopy (STEM/EELS) was used to analyze the BCP profile in the DSA samples. Wetting layers of parallel orientation were observed to form unless the bottom and top surface were neutralized with a surface treatment and top coat, respectively.

ACS Paragon Plus Environment

2

Page 3 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Improvements in photolithography have enabled the patterning of the ever-smaller features found in microelectronic devices. The diminishing dimensions of these features are largely responsible for increased computing performance at lower cost. However, progress towards improving the resolution of photolithography has slowed as the technology has matured and reached its physical limitations. Single-pass 193 nm immersion lithography, which is the most advanced technology deployed for high volume semiconductor manufacturing (HVM), cannot scale below ~40 nm half pitch. Driven by a relentless pursuit for higher resolution patterning tools, manufacturers of microelectronics devices are now exploring and employing a variety of multiple patterning techniques that provide access to features with dimensions below the 40 nm limit. One such method is block copolymer (BCP) lithography,1,2 which relies on BCP microphase separation into periodic nanostructures (e.g. lamellae) on length scales in the sub-10 nm regime. The directed self-assembly (DSA) of BCP films can be used to force BCP domains to adopt well-aligned structures suitable for lithography. This requires pre-patterning the substrate surface with sparsely spaced guidelines.3,4 Orientation of BCP domains in the presence of these guidelines results in domains with long-range order and minimum resolution well beyond the capabilities of traditional photolithography. DSA provides the feature sizes required for many of the most demanding next-generation patterning applications, including fabrication of bitpatterned media (BPM)5-8 for hard disk drives as well as FinFETs9 and contact holes10,11 for microelectronics. The natural periodicity of a BCP (L0) is controlled primarily by two variables: the overall degree of polymerization (N) and the segment-segment interaction parameter (χ). To achieve the highest possible resolution features (i.e. smallest L0) while remaining ordered, the chemical

ACS Paragon Plus Environment

3

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 28

structure of the BCP must be carefully designed to maximize the chemical incompatibility between blocks (i.e. high-χ, low-N). Polystyrene-block-poly(methyl methacrylate) (PS-bPMMA) is the most studied BCP system for lithography applications because thin films of this material can produce lamellae or cylinder morphologies that adopt an orientation perpendicular to the substrate upon thermal annealing.12-15 Although processing PS-b-PMMA is simple, it suffers from a low χ parameter, which limits its minimum lamellar L0 to ~22 nm (half-pitch = ~11 nm).16 Unfortunately, PS-b-PMMA will not be able to fulfill the resolution requirements for future manufacturing goals.6,16-18 Consequently, there is increasing demand for the development of “high-χ” BCPs that are capable of forming sub-10 nm patterns.19-24 In particular, sub-5 nm BCP features25-28 have garnered special interest because these dimensions surpass the resolution limit of known litho/multiple patterning processes operating at their technological and economic limits.29 For most patterning applications, the perpendicular lamellae are preferred over parallel cylinders because lamellae provide a uniform, through-film cross-section. This affords a larger process window for full BCP development and subsequent image transfer into the substrate.30 BCPs of different chemistries have been shown to reach the sub-10 nm threshold (as referenced previously), but some of these systems fail to satisfy two other properties that are highly desirable for processing: 1) intrinsic etch contrast between the component blocks to enable development/pattern transfer and 2) achievement of perpendicular orientation of the BCP features by thermal annealing. Work in our group has focused on designing BCPs with minimum feature sizes that scale into the sub-10 nm regime but also satisfy these other two prerequisites. These include BCPs that incorporate inorganic elements such as silicon20 and tin31 in one block that resist specific plasma etch chemistries and provide the etch contrast required to produce robust a high aspect ratio etch mask upon development.32,33 BCP orientation control by thermal

ACS Paragon Plus Environment

4

Page 5 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

annealing is desirable for applications in manufacturing because that process requires no new equipment and is generally fast (< 1 min) and reliable. We note that for some high-χ BCPs, solvent annealing can facilitate BCP orientation in thin films.34 While solvent annealing can be effective on the lab-scale, it is not an attractive unit process for HVM because of increased capital costs, safety considerations, and the time required to qualify new processing equipment and methods. Therefore, a key design parameter for our high-χ BCPs was that perpendicular features must be achieved by thermal annealing. To accomplish this, spin coat-able, polarityswitching top coats were introduced to control domain orientation during thermal annealing.35,36 This simple orientation control strategy is compatible with 300 mm wafer processing as it is now being explored in the all-track based manufacturing lines.37 The purpose of this paper is to report the successful pattern transfer and DSA of a lamellae forming block copolymer that forms well resolved, high aspect ratio features with 5 nm half-pitch. This polymer is poly(5-vinyl-1,3-benzodioxole-block-pentamethyldisilylstyrene) (PVBD-b-PDSS), which forms lamellae with an L0 = 10 nm. First, orientation and pattern transfer into chromium and spin-on carbon (SOC) is reported. Then, the DSA of PVBD-b-PDSS is demonstrated using guidelines generated by nanoimprint lithography (NIL). The results reported herein significantly advance our understanding of DSA of lamellae on the 5 nm length scale using processes that are completely compatible with HVM.

Results and Discussion Pattern Transfer of 5 nm BCP features Figure 1 demonstrates the pattern transfer of PVBD-b-PDSS fingerprint patterns through a chromium hard mask and into an underlying film of spin on carbon (SOC). In this experiment,

ACS Paragon Plus Environment

5

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 28

an 18 nm thick PVBD-b-PDSS film was oriented by thermal annealing and subjected to a CO2based RIE process, which selectively removed the PVBD block. During this process, some of the PDSS block is sacrificed as it is oxidized to form an SiOx crust that impedes further etching.33 After completely removing the PVDB block, a stable, 8 nm etch mask remaining that consisted of oxidized PDSS and the neutral brush (Figure 1A). The developed etch mask pattern was then subjected to a Cl2/O2-RIE process to break through the thin chromium film and etch into the underlying SOC layer. Evidence for the success of this transfer is shown in the tilted-view SEM image in Figure 1B. The etch stack consists of BCP, neutral brush, chromium, and spin-on carbon. The full thickness of the four layer etch stack is approximately 27 nm before and after the Cr breakthrough etch. Therefore, the 8 nm thick mask did not lose any thickness during the second etch and it can be assumed that the difference in the aspect ratio of the etched features in Figure 1A & 1B is a result of complete transfer through the Cr layer and subsequent etching into the underlying SOC layer. RIE processing of grating structures as small as 5 nm in width has not been extensively studied due to the significant challenge associated with fabricating such small structures using traditional lithography. Fully optimizing the RIE processes at the 5 nm length scale is beyond the scope of this work; however, the current process provides a proof of concept that 5 nm BCP features can serve as an etch mask for patterning inorganic and organic substrates.

ACS Paragon Plus Environment

6

Page 7 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Figure 1. Top-down and tilted view SEMs of (A) fully developed 5 nm wide domains on top of a Cr/SOC-coated silicon wafer and (B) transferred patterns after the Cl2/O2 etch process. An oxidizing RIE process was used to completely remove the PVBD block in (A). The full height of the etch stack in (A) and (B) is approximately 26 nm. Scale bars = 100 nm.

Directed Self-Assembly of 5 nm BCP features High-resolution lithography requires strict tolerances on pattern placement, overlay error and defect density to provide acceptable manufacturing yields. For BCPs, DSA is required to produce lithographically interesting structures with acceptable control over these requirements. Numerous DSA schemes that use lithography to pattern chemical38,39 or topographical40,41 guide features have been reported. By carefully tailoring the dimensions and the surface chemistry of the guiding patterns, BCP films can be induced to produce many device-relevant features42-46 with low pattern registration error.47,48 In addition, DSA processes can repair defects and misplaced features in the guide pattern lithography.49 Typically, guiding features for DSA are

ACS Paragon Plus Environment

7

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 28

designed such that they have little or no topography (chemoepitaxy).38,50-52 However, so-called hybrid chemo-/grapho- epitaxy DSA schemes53-55 purposely incorporate some topography in the guiding features to provide an additional guiding force for alignment. These hybrid structures are more challenging to fabricate, but there is evidence that combining topography with chemical pre-patterns reduces defects in self-assembly.37,55 Figure 2 shows the materials and the process steps used to align 5 nm PVBD-b-PDSS features. First, a silicon wafer was coated either with a thin oxide film or 2-3 nm of sputtered chromium, which is a standard hard mask material used for manufacturing nanoimprint lithography (NIL) templates. A commercial acrylate-based NIL resist was used to pattern the guiding lines for DSA, with line widths of approximately 18 nm and pitches ranging from 40 to 50 nm. The ~8 nm-thick residual layer of NIL resist remaining between the guiding lines after patterning was removed using an oxidizing plasma etch. This breakthrough etch process (herein referred to as the trim etch) was employed to reduce both the height and width of the NIL guide lines. In some experiments, a polymeric brush was grafted to the substrate between the NIL features to neutralize the substrate surface that was exposed during the trim etch. PVBD-b-PDSS was then spin-coated from methyl isobutyl ketone (MIBK followed by the trimethylamine (TMA) salt of a neutral top coat copolymer (i.e., a copolymer with a composition such that neither block of the block copolymer preferentially wets it), which was spin coated from methanol. Thermal annealing was conducted at temperatures greater than 180°C, which initiates a reaction in the top coat to eliminate TMA and generate a neutral surface, one that is not preferential for either block.36 After annealing, the top coat and PVBD block were removed by etching with oxygen plasma. Samples were then inspected using top-down SEM.

ACS Paragon Plus Environment

8

Page 9 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Figure 2. Schematic showing the (A) materials and (B) process steps used for producing directed self-assembly of 5 nm features. Arrow 1 and 2 describe the process with and without a grafted brush, respectively.

Four process parameters significantly affected the quality of the DSA: presence or absence of a neutral brush layer, the ratio of BCP film thickness to NIL feature height, trim etch time, and the pitch of the NIL resist features. In most DSA process flows, i.e. the chemoepitaxial LiNe process,56,57 a photoresist pattern is transferred into an underlying polymer mat to form the preferential guide stripes that serve to anchor one domain of the BCP.

Afterwards, the

photoresist is removed to expose the un-etched top surface of the underlying polymer. This top surface (and partially oxidized shallow sidewalls)58 serves as the chemical guide stripe for the BCP. However, NIL produces a cross-linked polymer after patterning that cannot be removed using solvent. In this case, the NIL resist itself must be used as the guiding pattern.59 Figure S3 shows SEM images of the NIL lines before brush spin coating and grafting. In Figure 3A, a polymer brush was applied to the NIL-patterned wafer by spin coating and then

ACS Paragon Plus Environment

9

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 28

baking at 250°C. The presence of this neutral brush facilitates perpendicular BCP orientation. Unfortunately, the DSA results from this process showed only well-oriented BCP domains that were weakly aligned perpendicular60,61 to the direction of the guide lines. Most DSA processes rely on the chemical contrast between the guideline and substrate for alignment. The micrographs in Figure 3A suggest that the brush binds to both the NIL resist (oxidized during the trim etch) and the oxide substrate, which unfortunately quenches the chemical contrast of the guide patterns. Since the brush polymer did not react selectively with the interspace substrate surface, we attempted to achieve chemical contrast between the NIL guide lines and the substrate by foregoing the brush treatment after etching the resist in oxygen plasma. For this process, BCP films were deposited directly onto the NIL features without first grafting a neutral brush layer (arrow 2 in Figure 2B). On un-etched NIL lines (Figure S4), thin BCP films filled the interspaces and formed ladder structures aligned perpendicular to the direction of the trenches. The ladderlike structures are likely due to a lack of chemical contrast between the side walls and trench, which has been previously observed and explained in other BCP systems.60-63 No fingerprint patterns formed in regions where the NIL resist was flat, which suggests that confinement between the rigid NIL trenches produced the driving force for perpendicular BCP orientation. When the NIL resist lines were briefly trim etched, the direction of the assembly switched to form traditional graphoepitaxy structures aligned parallel to the NIL lines (Figure 3B). Etching the NIL lines apparently caused the exposed surfaces to become highly preferential for the polar PVBD block and facilitated the formation of DSA in the ideal direction over large areas (Figure S5). When PVBD-b-PDSS was assembled in non-patterned regions of the etched wafer, no perpendicular features were observed (Figure 4A). This indicates that oxidized NIL resist is preferential for one component of the BCP, in good agreement with both experimental and

ACS Paragon Plus Environment

10

Page 11 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

theoretical results using similar materials.64 This result suggests that a highly preferential surface from the oxidized guide stripe is sufficient to control the direction of BCP alignment.

Figure 3. SEMs of BCP assembly on tall NIL features. The images in (A) were taken from samples in which a layer of brush was grafted to the substrate and rinsed before spin-coating the BCP film. The sample corresponding to the box in the first column was not imaged. The images in (B) were taken from samples prepared without grafting a neutral brush to the substrate. The pitch of the NIL lines corresponds to the best results observed (Figure 5). All BCP films were annealed at 190°C for 2 minutes. Scale bar = 50 nm.

Graphoepitaxial structures are sub-optimal for patterning applications since a portion of the surface is forfeited to accommodate the guiding pattern. Therefore, the DSA process was

ACS Paragon Plus Environment

11

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 28

shifted towards chemoepitaxial assembly by increasing the BCP film thickness at a constant NIL feature height. As the film thickness increased, the BCP gradually overfilled the trenches until the domains completely covered the surface of the NIL lines, as seen in Figure 3B. Even with a relatively thick BCP film (3.3 L0), the DSA persisted over large areas. This patterning regime is perhaps most accurately described as hybrid chemo-/grapho- epitaxy, a relatively unexplored type of DSA process37,53,55 compared to the panoply of studies describing either pure chemo- or graphoepitaxy. Although highly ordered line/space patterns can be created using this process, etch transfer of these structures could be challenging because features on top of tall guiding lines may not etch completely, leading to uneven and incomplete transfer of the BCP pattern. A series of experiments was performed to determine whether high quality DSA could still be achieved with thinner NIL guide lines (with and without a surface modifying brush). Longer trim etch times were used to shrink the lateral and vertical dimensions of the NIL features while the BCP film thickness was kept constant at 33 nm (3.3 L0). The images in Figure 4A show the results of these DSA experiments without using a neutral brush treatment. Nearly defect-free self-assembly was observed by top-down SEM when the BCP was assembled on NIL features taller than 6 nm (as measured by AFM). When the NIL features were etched below 6 nm, the BCP lamellae adopted an orientation parallel to the substrate, indicating that the topographic driving force for self-assembly was mostly extinguished. This experiment was repeated with a two step brush grafting process that included a brief 150°C bake prior to the high-temperature grafting bake at 250°C (previous studies have shown that brush grafting density can be controlled by varying the time and temperature of the brush annealing step11,65). The final brush thickness was also kept as low as possible (< 3 nm by ellipsometry) by spin coating the brush polymer from a very dilute solution at high spin speeds followed by the two baking steps. The

ACS Paragon Plus Environment

12

Page 13 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

images in Figure 4B show the DSA resulting from this modified grafting process. Unlike the results in Figure 3A, nearly perfect registration between the BCP domains and the NIL lines was achieved with the modified “two step” process. Figure S6 demonstrates that performing a soft bake at 150°C is necessary for generating this sort of alignment. Similar to the experiments without a brush, the quality of the DSA diminished as the height of the NIL lines was reduced. The DSA transitioned to fingerprint patterns when the NIL features were less than 6 nm tall. Apparently, the sparse deposition of the brush is sufficient to selectively modify the substrate and thereby generate perpendicular features despite removing much of the topographical driving force for DSA. This result further reinforces the importance of the height of the guiding pattern as an important variable for the BCP alignment process.

ACS Paragon Plus Environment

13

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

No brush

Page 14 of 28

Thin brush and two step bake

Figure 4. SEMs of BCP assembly on substrates patterned by NIL, demonstrating how DSA quality is affected by NIL feature height. “h” is the average height of the NIL lines for both images in a given row, as measured by AFM. All BCP films were 33 nm (3.3 L0) thick and were annealed at 190°C for 2 minutes. The pitch of the NIL lines corresponds to the best results observed (Figure 5). Scale bar = 200 nm.

ACS Paragon Plus Environment

14

Page 15 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Figure 5A shows a quantitative analysis of DSA quality for NIL resist heights between 6 and 12 nm and also illustrates how pitch affects BCP alignment. DSA performance was assessed by analyzing top-down SEM images using custom computer software.37 The score represents an estimate of the percentage of pixels in a given image that participate in DSA. An image with no obvious visual defects obtained a raw score of approximately 60%, while an image of random fingerprint patterns typically scored around 10%. The scores in Figure 5 have been normalized assuming a perfect score is approximately 60%.

Figure 5. (A) A quantitative comparison of DSA quality between samples produced with and without brush. The DSA score (y-axis) is calculated using custom computer software and represents the normalized average percentage of pixels participating in DSA. Error bars correspond to ±1 standard deviation from the mean score of ten 850x600 nm images. The lines connecting the points are only meant to be visual aids, not to indicate a continuous relationship between individual pitches. The red dotted line represents the average score of a random fingerprint pattern. (B) Representative SEM images that approximately correspond to the normalized score in the colored box. All samples used a BCP film thickness of 33 nm and were annealed at 205°C for 10 min. Scale bar = 50 nm.

ACS Paragon Plus Environment

15

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 28

Features with pitches between 42 and 44 nm produced the highest quality DSA for samples with and without brush. The process without a brush consistently produces DSA with fewer defects based on top-down analysis, even for non-ideal pitches. The discovery of the wider process window for the no-brush process was surprising, and prompted further investigation. To study the through-film structures of the BCP domains, representative samples of DSA films from both processes were cross-sectioned by focused ion beam milling. The cross sections were then imaged using scanning tunneling electron microscopy (STEM) with a simultaneous measurement of electron energy loss spectroscopy (EELS). The objective of this analysis was to understand how the BCP was interacting with the substrate surface by mapping the elemental composition of the cross-sectioned film. Figure 6 shows the results of the cross-sectional STEM/EELS experiments for three different substrate surfaces (oxidized chromium, SiO2 with and without brush), and demonstrates the need for carefully studying the through-film structure of any BCP system designed for lithography (the tilting of the domains in all three figures is most likely an artifact of thermal drift seen in other STEM/EELS studies of soft materials,39 especially since there is no corroborating evidence of tilted domains from other analyses). The most striking difference between the samples with and without brush is the BCP behavior near the substrate. The BCP domains travel through the entire film in Figure 6A, implicating the importance of the brush in controlling BCP orientation. In contrast, the BCP forms a bottom wetting layer on the samples without a brush: a very thin, carbon-rich layer of polymer can be seen touching the substrate in Figure 6B and 6C, indicating that the polar PVBD block wets the oxide surface of Si and Cr. In addition, a ~5 nm layer of PDSS lies above the PVBD block, which is undesirable. It is unclear whether this thin layer of organosilicon polymer would prevent successful pattern

ACS Paragon Plus Environment

16

Page 17 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

transfer. Previous results20 have shown that organosilicon polymers etch quickly when first exposed to oxidizing plasma, and only after loss of a sacrificial thickness does enough SiOx accumulate in the polymer film to form a substantial etch mask.33 It is plausible that pattern transfer can be achieved for the orientation of domains in Figure 6B. However, pattern transfer at the 5 nm length scale in DSA samples is more complex and is outside the scope of this manuscript. Another interesting difference between the three STEM/EELS results is the position of the BCP domains in relation to the NIL lines at the free surface. Samples assembled on SiO2 (Figure 6 A&B) have PVBD and PDSS domains that appear to alternate aligning over the guide line. This is indicative of a mismatch between the natural periodicity of the BCP and the periodicity of the NIL guide lines at the pitches sampled. In this case, the pitch of the NIL guidelines was 45 nm. As a result, the PVBD and PDSS domains alternate over the NIL guideline. The sample prepared on chromium (Figure 6C) was imaged at a different pitch and the misalignment has disappeared, which suggests that the NIL pitch (42 nm) was nearly commensurate. Applying a brush before BCP assembly offers clear advantages for processing DSA films; however, the non-selective grafting of the brush to the NIL lines produces defective BCP alignment. Efforts are underway to develop brush chemistry and processing that offers contrast in the form of different reactivity with the substrate and the etched resist, such that the surface energy of these materials can be tuned separately.

ACS Paragon Plus Environment

17

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 28

Figure 6. Images of NIL guide lines (top), BCP self-assembly (middle) and cross-sectional STEM in conjunction with EELS mapping (bottom) for three surfaces investigated in this study: (A) SiO2 with brush, (B) untreated SiO2, and (C) thin CrxOy (2 nm) on SiO2. The height of the NIL lines for the samples made on SiO2 and CrxOy is approximately 12 nm and 8 nm, respectively. BCP features for the samples made on SiO2 were etched before STEM analysis, while the sample made on CrxOy was not. The starting BCP film thickness for all three samples was approximately 33 nm. The samples on SiO2 were annealed at 190°C for 2 min, while the sample prepared on CrxOy was annealed at 205°C for 10 min. Scale bar for the top-down SEM images is 100 nm. Scale bar for the cross-section EELS images is 15 nm.

Conclusions We present a report of 5 nm DSA using a lamellar BCP using NIL-fabricated guidelines. Perpendicular orientation of PVBD-b-PDSS was achieved using only thermal annealing and a spin coating processes that deposit neutral surface treatments at the substrate and/or the free interface. DSA quality was affected by multiple factors, but arguably the most important was the presence or absence of a neutral brush at the substrate interface. Absence of the brush polymer promoted nearly defect-free assembly over large areas with guiding patterns thin enough for subsequent pattern transfer. However, the high surface energy of SiO2 and oxidized chromium

ACS Paragon Plus Environment

18

Page 19 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

caused formation of a thin BCP wetting layer at the substrate surface. Grafting a neutral brush to the interspace surface eliminated the wetting layer. However, the chemical contrast between the NIL lines and the substrate was also reduced because the brush grafts to both surfaces. Future work will focus on optimizing the brush chemistry and processing to further minimize the height of the NIL features required for defect-free DSA and achieve selective functionalization of the substrate. Methods Materials: The synthesis of the VBD monomer and the neutral brush are described in the Supplemental Information. Synthetic procedures for the block copolymer and top coat are described elsewhere.36 Solvents were purchased from Sigma Aldrich and used as received. Development and pattern transfer: In this experiment, an 18 nm thick PVBD-b-PDSS film was oriented by thermal annealing (190˚C, 1 min) on a film stack composed of the materials show in in Figure 1 (spin on carbon / chromium / neutral brush / PVBD-b-PDSS / neutral top coat). The excess top coat material was first removed by stripping in aqueous TMAH for 30 s, followed by rinsing with water and methanol for 15 s each. The exposed BCP film was subjected to a CO2-based RIE process, which removed the PVBD block. The CO2-based RIE was done in a 300 mm chemically confined capacitively coupled plasma chamber (Lam Research Flex™ Series) at low pressure (< 50 mT) with 27MHz RF source power supplied from the bottom electrode (T = 20 oC). Pattern transfer was conducted with a Trion Oracle (also a capacitively coupled plasma) using the following recipe: (P = 30mT, Power = 54W, Gases= 54sccms Cl2; 9sccms O2).

ACS Paragon Plus Environment

19

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 28

Guide line preparation: 150 mm silicon wafers were purchased from WRS materials and either used as received or first coated with a thin film of sputtered chromium (2-3 nm). Chromium deposition was performed using an AJA International ATC 2200-V sputtering system. An acrylate-based NIL resist film was deposited onto the wafers and subsequently imprinted using a Molecular Imprints Imprio HD 2200 Nanopatterning System. The NIL template produced a pre-pattern with spaces between 40 and 50 nm in pitch in an annular band approximately 2.4 mm wide. Each patterned field was approximately 100 x 200 um in size and only contained lines with a single pitch. Trim etching was performed by exposing the NIL resist to an oxygen-based plasma in an Oxford Plasmalab System 100 etcher. The trim etch was conducted at 30W using 2 mTorr of O2 for various times (ca. 30 s). Generally, wafers were trim etched by covering ¾ of the wafer with another blank wafer so only one quarter section of the wafer was exposed to RIE at a time. In this way, 4 different etch splits could be run on the same wafer. Some samples were characterized by AFM and SEM analysis after trim etching. Brush processing: Hydroxy-terminated brushes were synthesized by nitroxide mediated polymerization.66,67 Characterization is reported in the supporting information and elsewhere.37 Neutrality was determined by island and hole analysis.68,69 Thick brushes were spin coated from 1% wt solution in MIBK and baked for 250˚C for 5 mins. For the two step process, solutions of brush (0.2 wt% in MIBK) were spin coated at 2000 rpm and annealed at 150 ˚C for 10 mins. Then a grafting bake of 250˚C for 5 mins was applied. Excess, ungrafted brush was removed by rinsing the wafer with MIBK.

ACS Paragon Plus Environment

20

Page 21 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

BCP and top coat processing: Spin coating and annealing were performed on a Brewer Science 100CB spin coating/hot plate station. BCP films of various thicknesses (measured by ellipsometry and reflectometry on flat regions of the substrate) were spun from MIBK onto the trim-etched guide lines. To achieve graphoepitaxy, BCP films were spun from a 0.9 wt% solution at 3000 rpm. Thicker films could be spun from 1.2 wt% solutions at 2000 rpm to cause the BCP to overfill the NIL lines. A 15 nm film of a top coat in TMA-salt form was subsequently spin-coated from a 1% methanol solution directly onto the BCP film. For the highest quality DSA, the film stack was annealed at 205°C for 10 mins on an open air hotplate. For SEM analysis, the film stack was then exposed to an oxygen-based RIE step for 90 s to fully remove the top coat and lightly oxidize the PDSS domains. Thin film analysis: SEM micrographs of NIL patterns, BCP films and chromium templates were obtained using a Raith150-Two system operating at an accelerating voltage of 10 kV. AFM height data was obtained from a Bruker system operating in tapping mode. Cross-sectional STEM and EELS images were obtained from Nanolab Technologies, CA. Film thickness measurements were made on a Nanometrics reflectometer (model # 1000-01195). SEM images were scored using custom computer software.

ASSOCIATED CONTENT

ACS Paragon Plus Environment

21

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 28

Further characterization and data for materials and processes used in this study are located in the supporting information. This material is available free of charge via the Internet at http://pubs.acs.org. AUTHOR INFORMATION Corresponding Author Email: [email protected] Email: [email protected] Email: [email protected]

Author Contributions The manuscript was written through contributions of all authors. All authors have given approval to the final version of the manuscript.

Acknowledgements The authors thank Nissan Chemical Industries, Lam Research, the ASTC, Seagate Technology PLC, and the National Science Foundation (Grants EECS-1120823 and EEC-1160494) for financial support. MJM thanks National Science Foundation Graduate Research Fellowship (Grant No. DGE-1110007) for financial support. GW thanks the Rashid Engineering Regents Chair and the Welch Foundation (Grant #F-1830) for partial financial support. CJE thanks the Welch Foundation (grant #F-1709) for partial financial support. Any opinion, findings, and

ACS Paragon Plus Environment

22

Page 23 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

conclusions or recommendations expressed in this material are those of the authors and do not necessarily reflect the views of the National Science Foundation or the sponsors.

References (1) (2) (3) (4) (5) (6)

(7) (8)

(9)

(10)

(11)

Bates, C. M.; Maher, M. J.; Janes, D. W.; Ellison, C. J.; Willson, C. G. Block Copolymer Lithography. Macromolecules 2014, 47, 2–12. Luo, M.; Epps, T. H., III. Directed Block Copolymer Thin Film Self-Assembly: Emerging Trends in Nanopattern Fabrication. Macromolecules 2013, 46, 7567–7579. Ruiz, R.; Kang, H.; Detcheverry Francois, A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly. Science 2008, 321, 936–939. Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H.-C.; Hinsberg, W. D. Dense SelfAssembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers. Adv. Mater. 2008, 20, 3155–3158. Griffiths, R. A.; Williams, A.; Oakland, C.; Roberts, J.; Vijayaraghavan, A.; Thomson, T. Directed Self-Assembly of Block Copolymers for Use in Bit Patterned Media Fabrication. J. Phys. D: Appl. Phys. 2013, 46, 503001. Albrecht, T. R.; Arora, H.; Ayanoor-Vitikkate, V.; Beaujour, J.-M.; Bedau, D.; Berman, D.; Bogdanov, A. L.; Chapuis, Y.-A.; Cushen, J.; Dobisz, E. E.; Doerk, G.; Gao, H; Grobis, M.; Gurney, B.; Hanson, W.; Hellwig, O.; Hirano, T.; Jubert, P.-O.; Kercher, D.; Lille, J.; et al. Bit-Patterned Magnetic Recording: Theory, Media Fabrication, and Recording Performance. IEEE Trans. Magn. 2015, 51, 1–42. Ruiz, R.; Dobisz, E.; Albrecht, T. R. Rectangular Patterns Using Block Copolymer Directed Assembly for High Bit Aspect Ratio Patterned Media. ACS Nano 2011, 5, 79– 84. Yang, X.; Xiao, S.; Hu, W.; Hwu, J.; van de Veerdonk, R.; Wago, K.; Lee, K.; Kuo, D. Integration of Nanoimprint Lithography with Block Copolymer Directed Self-Assembly for Fabrication of a Sub-20 nm Template for Bit-Patterned Media. Nanotechnology 2014, 25, 395301. Tsai, H.; Pitera, J. W.; Miyazoe, H.; Bangsaruntip, S.; Engelmann, S. U.; Liu, C.-C.; Cheng, J. Y.; Bucchignano, J. J.; Klaus, D. P.; Joseph, E. A.; Sanders, D. P.; Colburn, M. E.; Guillorn, M. A. Two-Dimensional Pattern Formation Using Graphoepitaxy of PSb-PMMA Block Copolymers for Advanced FinFET Device and Circuit Fabrication. ACS Nano 2014, 8, 5227–5232. Yi, H.; Bao, X.-Y.; Zhang, J.; Bencher, C.; Chang, L.-W.; Chen, X.; Tiberio, R.; Conway, J.; Dai, H.; Chen, Y.; Mitra, S.; Wong, H.-S. P.; Flexible Control of Block Copolymer Directed Self-Assembly Using Small, Topographical Templates: Potential Lithography Solution for Integrated Circuit Contact Hole Patterning. Adv. Mater. 2012, 24, 3107–3114. Doise, J.; Bekaert, J.; Chan, B. T.; Gronheid, R.; Cao, Y.; Hong, S.; Lin, G.; Fishman,

ACS Paragon Plus Environment

23

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(12) (13) (14) (15) (16) (17) (18) (19) (20)

(21) (22) (23)

(24)

(25)

Page 24 of 28

D.; Chakk, Y.; Marzook, T. Implementation of Surface Energy Modification in Graphoepitaxy Directed Self-Assembly for Hole Multiplication. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom. 2015, 33, 06F301. Ryu, D. Y.; Shin, K.; Drockenmuller, E.; Hawker, C. J.; Russell, T. P. A Generalized Approach to the Modification of Solid Surfaces. Science 2005, 308, 236–239. Bang, J.; Bae, J.; Löwenhielm, P.; Spiessberger, C.; Given-Beck, S. A.; Russell, T. P.; Hawker, C. J. Facile Routes to Patterned Surface Neutralization Layers for Block Copolymer Lithography. Adv. Mater. 2007, 19, 4552–4557. Ham, S.; Shin, C.; Kim, E.; Ryu, D. Y.; Jeong, U.; Russell, T. P.; Hawker, C. J. Microdomain Orientation of PS-b-PMMA by Controlled Interfacial Interactions. Macromolecules 2008, 41, 6431–6437. Han, E.; Stuen, K. O.; Leolukman, M.; Liu, C.-C.; Nealey, P. F.; Gopalan, P. Perpendicular Orientation of Domains in Cylinder-Forming Block Copolymer Thick Films by Controlled Interfacial Interactions. Macromolecules 2009, 42, 4896–4901. Wan, L.; Ruiz, R.; Gao, H.; Patel, K. C.; Albrecht, T. R.; Yin, J.; Kim, J.; Cao, Y.; Lin, G. The Limits of Lamellae-Forming PS-b-PMMA Block Copolymers for Lithography. ACS Nano 2015, 9, 7506–7514. 2015 ITRS Roadmap. https://www.semiconductors.org/main/2015_international_technology_roadmap_for_se miconductors_itrs/ (Accessed June 20, 2017) 2016 ASTC Technology Roadmap. http://idema.org/?page_id=58688 (Accessed June 20, 2007) Chang, J.-B.; Son, J. G.; Hannon, A. F.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Aligned Sub-10-nm Block Copolymer Patterns Templated by Post Arrays. ACS Nano 2012, 3, 2071–2077. Durand, W. J.; Blachut, G.; Maher, M. J.; Sirard, S.; Tein, S.; Carlson, M. C.; Asano, Y.; Zhou, S. X.; Lane, A. P.; Bates, C. M.; Ellison, C. J.; Willson, C. G. Design of High Χ Block Copolymers for Lithography. J. Polym. Sci., Part A: Polym. Chem. 2015, 53, 344–352. Vora, A.; Wojtecki, R. J.; Schmidt, K.; Chunder, A.; Cheng, J. Y.; Nelson, A.; Sanders, D. P. Development of Polycarbonate-Containing Block Copolymers for Thin Film SelfAssembly Applications. Polym. Chem. 2016. 7, 940-950. Xiong, S.; Chapuis, Y.-A.; Wan, L.; Gao, H.; Li, X.; Ruiz, R.; Nealey, P. F. Directed Self-Assembly of High-Chi Block Copolymer for Nano Fabrication of Bit Patterned Media via Solvent Annealing. Nanotechnology 2016, 27, 1–6. Yang, G.-W.; Wu, G.-P.; Chen, X.; Xiong, S.; Arges, C. G.; Ji, S.; Nealey, P. F.; Lu, X.B.; Darensbourg, D. J.; Xu, Z.-K. Directed Self-Assembly of Polystyrene-bPoly(Propylene Carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography. Nano Lett. 2017, 17, 1233–1239. Luo, Y.; Montarnal, D.; Kim, S.; Shi, W.; Barteau, K. P.; Pester, C. W.; Hustad, P. D.; Christianson, M. D.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Poly(Dimethylsiloxane-b-Methyl Methacrylate): A Promising Candidate for Sub-10 nm Patterning. Macromolecules 2015, 48, 3422-3430. Cushen, J. D.; Otsuka, I.; Bates, C. M.; Halila, S.; Fort, S.; Rochas, C.; Easley, J. A.; Rausch, E. L.; Thio, A.; Borsali, R.; Willson, C. G.; Ellison, C. J. Oligosaccharide/Silicon-Containing Block Copolymers with 5 nm Features for

ACS Paragon Plus Environment

24

Page 25 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

(26) (27) (28) (29) (30) (31)

(32)

(33)

(34) (35) (36) (37)

(38) (39) (40)

Lithographic Applications. ACS Nano 2012, 6, 3424–3433. Kennemur, J. G.; Yao, L.; Bates, F. S.; Hillmyer, M. A. Sub-5 nm Domains in Ordered Poly(Cyclohexylethylene)-block-Poly(Methyl Methacrylate) Block Polymers for Lithography. Macromolecules 2014, 47, 1411–1418. Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Macroscopic 10-Terabit–per–Square-Inch Arrays From Block Copolymers with Lateral Order. Science 2009, 323, 1030–1033. Sinturel, C.; Bates, F. S.; Hillmyer, M. A. High χ–Low N Block Polymers: How Far Can We Go? ACS Macro Lett. 2015, 4, 1044–1050. Chen, Y.; Cheng, Q.; Kang, W. Technological Merits, Process Complexity, and Cost Analysis of Self-Aligned Multiple Patterning. Proc. SPIE 2012, 8326, 832620. Black, C. T.; Ruiz, R.; Breyta, G.; Cheng, J. Y. Polymer Self Assembly in Semiconductor Microelectronics. IBM J. Res. & Dev. 2007, 51, 605–633. Maher, M. J.; Mori, K.; Sirard, S. M.; Dinhobl, A. M.; Bates, C. M.; Gurer, E.; Blachut, G.; Lane, A. P.; Durand, W. J.; Carlson, M. C.; Strahan, J. R.; Ellison, C. J.; Willson, C. G. Pattern Transfer of Sub-10 nm Features via Tin-Containing Block Copolymers. ACS Macro Lett. 2016, 5, 391–395. Sirard, S.; Azarnouche, L.; Gurer, E.; Durand, W.; Maher, M.; Mori, K.; Blachut, G.; Janes, D.; Asano, Y.; Someya, Y.; Someya, Y.; Hymes, D.; Graves, D.; Ellison, C. J.; Willson, C. G. Interactions Between Plasma and Block Copolymers Used in Directed Self-Assembly Patterning. Proc. SPIE 2016, 9782, 97820K. Azarnouche, L.; Sirard, S. M.; Durand, W. J.; Blachut, G.; Gurer, E.; Hymes, D. J.; Ellison, C. J.; Willson, C. G.; Graves, D. B. Plasma and Photon Interactions with Organosilicon Polymers for Directed Self-Assembly Patterning Applications. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2016, 34, 061602. Sinturel, C.; Vayer, M.; Morris, M.; Hillmyer, M. A. Solvent Vapor Annealing of Block Polymer Thin Films. Macromolecules 2013, 46, 5399–5415. Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. Polarity-Switching Top Coats Enable Orientation of Sub-10-nm Block Copolymer Domains. Science 2012, 338, 775–779. Maher, M. J.; Bates, C. M.; Blachut, G.; Sirard, S.; Self, J. L.; Carlson, M. C.; Dean, L. M.; Cushen, J. D.; Durand, W. J.; Hayes, C. O.; Ellison, C. J.; Willson, C. G. Interfacial Design for Block Copolymer Thin Films. Chem. Mater. 2014, 26, 1471–1479. Blachut, G.; Sirard, S. M.; Maher, M. J.; Asano, Y.; Someya, Y.; Lane, A. P.; Durand, W. J.; Bates, C. M.; Dinhobl, A. M.; Gronheid, R.; Hymes, D.; Ellison, C. J.; Willson, C. G. A Hybrid Chemo-/Grapho-Epitaxial Alignment Strategy for Defect Reduction in Sub-10 nm Directed Self-Assembly of Silicon-Containing Block Copolymers. Chem. Mater. 2016, 28, 8951–8961. Ouk Kim, S.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Epitaxial Self-Assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates. Nature 2003, 424, 411–414. Ji, S.; Wan, L.; Liu, C.-C.; Nealey, P. F. Directed self-assembly of block copolymers on chemical patterns: A platform for nanofabrication. Prog. Polym. Sci. 2016, 54-55, 76– 127. Choi, J.; Carter, K. R.; Russell, T. P. Directed Self-Oriented Self-Assembly of Block

ACS Paragon Plus Environment

25

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(41)

(42) (43)

(44)

(45)

(46) (47)

(48)

(49) (50) (51) (52)

(53)

Page 26 of 28

Copolymers Using Topographical Surfaces. In Directed Self-assembly of Block Copolymers for Nano-manufacturing; Gronheid, R.; Nealey, P. F., Eds.; Woodhead Publishing: Cambridge, 2015; pp. 99–127. Nicaise, S. M.; Tavakkoli K G, A.; Berggren, K. K. Directed Self-Assembly of Block Copolymers for Nano-Manufacturing. In Directed Self-assembly of Block Co-polymers for Nano-manufacturing; Gronheid, R.; Nealey, P. F., Eds.; Woodhead Publishing: Cambridge, 2015; pp. 199–232. Stoykovich, M. P.; Mueller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Directed Assembly of Block Copolymer Blends Into Nonregular Device-Oriented Structures. Science 2005, 308, 1442–1446. Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C.-C.; de Pablo, J. J.; Müller, M.; Nealey, P. F. Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries. ACS Nano 2007, 1, 168–175. Wan, L.; Ruiz, R.; Gao, H.; Patel, K. C.; Lille, J.; Zeltzer, G.; Dobisz, E. A.; Bogdanov, A.; Nealey, P. F.; Albrecht, T. R. Fabrication of Templates with Rectangular Bits on Circular Tracks by Combining Block Copolymer Directed Self-Assembly and Nanoimprint Lithography. J. Micro/Nanolith. MEMS MOEMS 2012, 11, 031405. Kihara, N.; Yamamoto, R.; Sasao, N.; Shimada, T.; Yuzawa, A.; Okino, T.; Ootera, Y.; Kamata, Y.; Kikitsu, A. Fabrication of 5 Tdot/in.2 Bit Patterned Media with Servo Pattern Using Directed Self-Assembly. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom. 2012, 30, 06FH02. Xiao, S.; Yang, X.; Steiner, P.; Hsu, Y.; Lee, K.; Wago, K.; Kuo, D. Servo-Integrated Patterned Media by Hybrid Directed Self-Assembly. ACS Nano 2014, 8, 11854–11859. Doerk, G. S.; Liu, C.-C.; Cheng, J. Y.; Rettner, C. T.; Pitera, J. W.; Krupp, L.; Topuria, T.; Arellano, N.; Sanders, D. P. Measurement of Placement Error Between SelfAssembled Polymer Patterns and Guiding Chemical Prepatterns. Proc. SPIE 2012, 8323, 83230P. Doerk, G. S.; Liu, C.-C.; Cheng, J. Y.; Rettner, C. T.; Pitera, J. W.; Krupp, L. E.; Topuria, T.; Arellano, N.; Sanders, D. P. Pattern Placement Accuracy in Block Copolymer Directed Self-Assembly Based on Chemical Epitaxy. ACS Nano 2013, 7, 276–285. Yang, X.; Wan, L.; Xiao, S.; Xu, Y.; Weller, D. K. Directed Block Copolymer Assembly versus Electron Beam Lithography for Bit-Patterned Media with Areal Density of 1 Terabit/Inch2 and Beyond. ACS Nano 2009, 3, 1844–1858. Park, S.-M.; Craig, G. S. W.; Liu, C.-C.; La, Y.-H.; Ferrier, N. J.; Nealey, P. F. Characterization of Cylinder-Forming Block Copolymers Directed to Assemble on Spotted Chemical Patterns. Macromolecules 2008, 41, 9118–9123. Inoue, T.; Janes, D. W.; Ren, J.; Suh, H. S.; Chen, X.; Ellison, C. J.; Nealey, P. F. Molecular Transfer Printing of Block Copolymer Patterns Over Large Areas with Conformal Layers. Adv. Mater. Interfaces 2015, 2, 1500133. Maher, M. J.; Rettner, C. T.; Bates, C. M.; Blachut, G.; Carlson, M. C.; Durand, W. J.; Ellison, C. J.; Sanders, D. P.; Cheng, J. Y.; Willson, C. G. Directed Self-Assembly of Silicon-Containing Block Copolymer Thin Films. ACS Appl. Mater. Interfaces 2015, 7, 3323–3328. Kim, J.; Wan, J.; Miyazaki, S.; Yin, J.; Cao, Y.; Her, Y. J.; Wu, H.; Shan, J.; Kurosawa,

ACS Paragon Plus Environment

26

Page 27 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

(54) (55)

(56)

(57)

(58) (59)

(60) (61) (62) (63) (64)

(65) (66) (67)

K.; Lin, G. The SMARTTM Process for Directed Block Co-Polymer Self-Assembly. J. Photopolym. Sci. Technol. 2013, 26, 573–579. Pandav, G.; Durand, W. J.; Ellison, C. J.; Willson, C. G.; Ganesan, V. Directed Self Assembly of Block Copolymers Using Chemical Patterns with Sidewall Guiding Lines, Backfilled with Random Copolymer Brushes. Soft Matter 2015, 11, 9107–9114. Cushen, J. D.; Wan, L.; Blachut, G.; Maher, M. J.; Albrecht, T. R.; Ellison, C. J.; Willson, C. G.; Ruiz, R. Double-Patterned Sidewall Directed Self-Assembly and Pattern Transfer of Sub-10 nm PTMSS-b-PMOST. ACS Appl. Mater. Interfaces 2015, 7, 13476– 13483. Liu, C.-C.; Ramírez-Hernández, A.; Han, E.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Kang, H.; Ji, S.; Gopalan, P.; de Pablo, J. J.; Nealey, P. F. Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features. Macromolecules 2013, 46, 1415–1424. Delgadillo, P. R.; Gronheid, R.; Thode, C. J.; Wu, H.; Yi, C.; Neisser, M.; Somervell, M.; Nafus, K.; Nealey, P. F. Implementation of a Chemo-Epitaxy Flow for Directed Self-Assembly on 300-mm Wafer Processing Equipment. J. Micro/Nanolith. MEMS MOEMS 2012, 11, 031302. Williamson, L. D.; Seidel, R. N.; Chen, X.; Suh, H. S.; Rincon Delgadillo, P.; Gronheid, R.; Nealey, P. F. Three-Tone Chemical Patterns for Block Copolymer Directed SelfAssembly. ACS Appl. Mater. Interfaces 2016, 8, 2704–2712. Sun, Z.; Chen, Z.; Zhang, W.; Choi, J.; Huang, C. Directed Self Assembly of Poly (2 Vinylpyridine) b Polystyrene b Poly(2 vinylpyridine) Triblock Copolymer with Sub 15 nm Spacing Line Patterns Using a Nanoimprinted Photoresist Template. Adv. Mater. 2015, 27, 4364–4370. Park, S. M.; Stoykovich, M. P.; Ruiz, R.; Zhang, Y.; Black, C. T.; Nealey, P. F. Directed Assembly of Lamellae- Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates. Adv. Mater. 2007, 19, 607–611. Han, E.; Kang, H.; Liu, C.-C.; Nealey, P. F.; Gopalan, P. Graphoepitaxial Assembly of Symmetric Block Copolymers on Weakly Preferential Substrates. Adv. Mater. 2010, 22, 4325–4329. Jeong, S.-J.; Moon, H.-S.; Shin, J.; Kim, B. H.; Shin, D. O.; Kim, J. Y.; Lee, Y.-H.; Kim, J. U.; Kim, S. O. One-Dimensional Metal Nanowire Assembly via Block Copolymer Soft Graphoepitaxy. Nano Lett. 2010, 10, 3500–3505. Park, S.-M.; Berry, B. C.; Dobisz, E.; Kim, H.-C. Observation of Surface CorrugationInduced Alignment of Lamellar Microdomains in PS-b-PMMA Thin Films. Soft Matter 2009, 5, 957. Durand, W. J.; Carlson, M. C.; Maher, M. J.; Blachut, G.; Santos, L. J.; Tein, S.; Ganesan, V.; Ellison, C. J.; Willson, C. G. Experimental and Modeling Study of Domain Orientation in Confined Block Copolymer Thin Films. Macromolecules 2016, 49, 308– 316. Guo, R.; Kim, E.; Gong, J.; Choi, S.; Ham, S.; Ryu, D. Y. Perpendicular Orientation of Microdomains in PS-b-PMMA Thin Films on the PS Brushed Substrates. Soft Matter 2011, 7, 6920-6925. Hawker, C. J.; Hedrick, J. L. Accurate Control of Chain Ends by a Novel “Living” FreeRadical Polymerization Process. Macromolecules 1995, 28, 2993–2995. Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. Controlling Polymer-Surface

ACS Paragon Plus Environment

27

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(68) (69)

Page 28 of 28

Interactions with Random Copolymer Brushes. Science 1997, 275, 1458–1460. Kim, S.; Bates, C. M.; Thio, A.; Cushen, J. D.; Ellison, C. J.; Willson, C. G.; Bates, F. S. Consequences of Surface Neutralization in Diblock Copolymer Thin Films. ACS Nano 2013, 7, 9905–9919. Maher, M. J.; Self, J. L.; Stasiak, P.; Blachut, G.; Ellison, C. J.; Matsen, M. W.; Bates, C. M.; Willson, C. G. Structure, Stability, and Reorganization of 0.5 L0 Topography in Block Copolymer Thin Films. ACS Nano 2016, 10, 10152–10160.

ACS Paragon Plus Environment

28