DNA Origami Mask for Sub-Ten-Nanometer ... - ACS Publications

Jun 9, 2016 - These results, in addition to the entire surface coverage by ... of 1.1 × 1015 atom/cm2, define a process window, fabrication rules, an...
1 downloads 0 Views 2MB Size
DNA Origami Mask for Sub-Ten-Nanometer Lithography Cheikh Tidiane Diagne,*,†,‡ Christophe Brun,†,‡ Didier Gasparutto,§,⊥ Xavier Baillin,†,‡ and Raluca Tiron*,†,‡ †

University Grenoble Alpes, F-38000 Grenoble, France CEA, LETI, MINATEC Campus, F-38054 Grenoble, France § University Grenoble Alpes, F-38000 Grenoble, France ⊥ CEA, INAC, MINATEC Campus, F-38000 Grenoble, France ‡

S Supporting Information *

ABSTRACT: DNA nanotechnology is currently widely explored and especially shows promises for advanced lithography due to its ability to define nanometer scale features. We demonstrate a 9 × 14 nm2 hole pattern transfer from DNA origami into an SiO2 layer with a sub-10-nm resolution using anhydrous HF vapor in a semiconductor etching machine. We show that the resulting SiO2 pattern inherits its shape from the DNA structure within a process time ranging from 30 to 60 s at an etching rate of 0.2 nm/s. At 600 s of etching, the SiO2 pattern meets corrosion and the overall etching reaction is blocked. These results, in addition to the entire surface coverage by magnesium occurring on the substrate at a density of 1.1 × 1015 atom/ cm2, define a process window, fabrication rules, and limits for DNA-based lithography. KEYWORDS: bioinspired lithography, DNA nanostructures, directed self-assembly, nanoelectronics, anhydrous HF vapor etching

F

can be positioned and orientated with high precision on prepatterned surfaces7−14 allowing their integration into devices. It has been reported that DNA could be used as a lithographic mask by metal vapor shadowing15 or metal film replication of its shape.16 Recently, a single-layered triangular DNA origami of intrinsic resolution of 20 nm has been used to modulate the water adsorption on SiO2 substrate and consequently to control the etching mode of the substrate mediated by HF vapor.17,18 By playing with the humidity level and the temperature of the process chamber, etching occurs on DNA leading to a negative tone pattern or outside DNA leading to a positive tone pattern. Typical etching time was 5 min when DNA was used as a catalyst and 15 min when used as a protective mask. Although these results have demonstrated the tremendous potential of DNA origami as a lithographic mask, several improvements need to be implemented. Among them: (1) the ability to perform controlled and directed surface placement of DNA origami at predesigned locations, (2) the reduction of the CD of the DNA mask to be in agreement with CD requirements targeted below 10 nm for coming years, (3) the optimization of the etching process time for high throughput applications, and (4) the improvement of the DNA mask impermeability to limit

or years, Moore’s law has been consistent in predicting the transistor density growth rate on integrated circuits and paved the technology roadmap toward low dimensions. However, the microelectronics industry is facing a huge challenge for sub-10-nm lithography. Today, 193 nm immersion lithography combined with dual patterning allows the production of 20 nm technologic node.1 For the lowest nodes, 13.5 nm of extreme ultraviolet light is being implemented but faces process and cost challenges due to light source instability and high vacuum requirements. Therefore, several alternatives to photolithography have been proposed. Among them, directed self-assembly (DSA) of block copolymers allows a sub-10-nm patterning resolution2,3 and offers promising patterning solutions for next generation devices. DSA technique is based on the guided assembly of block copolymers into predefined patterns and the selective removal of one phase of the copolymer to form periodical nanopatterns. However, only one morphology and one resolution are addressable for one block copolymer in a process step. Besides that, structural DNA nanotechnology offers periodical auto-organization in theoretical 2 nm resolution origami shape with the advantage to address more complex patterns with adjustable critical dimension (CD) and pitch in the same origami.4−6 DNA origami is the folding of a long circular single-stranded DNA, generally the M13mp18 phage DNA scaffold, into desired shapes by hundreds of short DNA molecules (oligonucleotides) called staple strands.4 The resulting 2D or 3D DNA structures © 2016 American Chemical Society

Received: January 18, 2016 Accepted: June 9, 2016 Published: June 9, 2016 6458

DOI: 10.1021/acsnano.6b00413 ACS Nano 2016, 10, 6458−6463

Article

www.acsnano.org

Article

ACS Nano

Figure 1. Process of pattern transfer from DNA origami into SiO2. (A) 3D DNA origami structure with 8 nm thickness. (B) 1. DNA origami are adsorbed on a Si substrate containing 200 nm of hydroxyl-terminated SiO2. 2. Substrate is dried and then undergoes an HF vapor etching allowing the pattern transfer from DNA origami to SiO2. 3. DNA mask is removed from the substrate to reveal the SiO2 patterns.

Figure 2. AFM images and cross sections of DNA and SiO2 substrate before and after HF vapor etching. All values are given in nm. (A) 2D representation of DNA and SiO2 pattern. White dotted lines indicate cross sections. Scale bars: 50 nm. 1. DNA origami in buffer. 2. DNA origami in air. 3. DNA origami in air after 30 s of HF vapor etching. 4. SiO2 pattern after DNA mask removal. 5. Phase image of SiO2 pattern in 4. (B) 3D representation of vertical corresponding images in (A). (C) Profiles of cross sections in (A). Purple and red lines indicate the method used to measure the lateral dimension of holes within DNA origami or SiO2 pattern.

HF2−,17,20 this process is based on the ionization of HF vapor by low pressurized alcohol vapor (A), which acts as a catalyst21

the diffusion of the etchant through the mask during long etching time. Moreover, even if DNA origami behavior has been studied under a wide range of chemical environments,19 to our knowledge, there is no detailed study on the etching process steps determining the limits of the method. In this work, we demonstrate the transfer of a sub-10-nm hole from a DNA origami cuboid into an SiO2 layer on a Si substrate in a dedicated semiconductor industry etching machine. The etching of the unmasked SiO2 was performed by a dry HF vapor process. Contrary to the mechanism in which HF is deprotonated by water to generate the SiO2 ionic etchant

2HF(ads) + A(ads) → HF2−(ads) + AH+(ads) SiO2 (s) + 2HF2−(ads) + 2AH+(ads) → SiF4 (ads) + 2H 2O(ads) + 2A(ads)

Therefore, unless the substrate is contaminated, the only water laying on the surface is the one created by the reaction. Because water is also a catalyst of the reaction, its presence is controlled by 6459

DOI: 10.1021/acsnano.6b00413 ACS Nano 2016, 10, 6458−6463

Article

ACS Nano

etching and was degraded quickly during scanning. This strong tip−surface attraction points out the formation of an adlayer at the surface consecutive to the etching reaction. In order to characterize the sample at a high scanning rate before tip complete degradation, we switched to tapping mode AFM for this process step. After etching, samples are extensively cleaned with ethanol/ water mixtures to remove organic and particle contaminants. Substrates characterization revealed the presence of square SiO2 patterns corresponding to the DNA cuboid shape replication (Figure 2A4,A5,B4,C4). The measured height of the pattern was 5.2 ± 0.6 nm (N = 66) and corresponds to the expecting value. Almost every SiO2 pattern displayed at its center a very small cavity clearly visible with the AFM phase image (Figure 2A5, see Supporting Information Figure S4). The cavity width was 7.8 nm with a zero size dispersion (N = 66). The small dimension of the hole makes its characterization very tricky. Several AFM modes and different tip types were used but we could not find an optimal condition to measure the depth of the cavity. Our attempts to use SEM analysis were not successful because of the lack of contrast between the cavity and the remaining SiO2 pattern and high substrate charging (see Supporting Information Figure S5). This lack of contrast, in addition to the disorganization of the patterns and their low density onto the surface made it impossible to perform appropriate transversal cross sections by focused ion beam for TEM analysis. The failure to measure the cavity depth raised the difficulty to resolve the exact lateral limits of the cavity which could be lower than the given value as it can be limited by the tip sharpness. However, AFM cross sections between adjacent SiO2 patterns separated by 19 nm revealed that the depth between those patterns is ∼6 nm (see Supporting Information Figure S6). Even if the distance of 19 nm is larger than the SiO2 hole width, we believe it gives arguments to believe that the hole depth is also ∼6 nm. Further experiments are needed to go into details on the characterization of the masked SiO2. SiO2 Patterns Shape after Long Time HF Vapor Etching. After transferring with a high resolution the DNA origami pattern into the SiO2 substrate, we examined the fidelity of this pattern transfer for etching time longer than 30 s. For this purpose, we used two HF vapor etching recipes that remove 12 nm of SiO2 in 60 s and 120 nm of SiO2 in 600 s. After etching and substrate cleaning, the substrates have been characterized by tapping mode AFM in air. The results are presented in Figure 3. After 60 s of etching, the width of the SiO2 hole pattern was unchanged (8.1 ± 0.2 nm) (Figure 3C1) and the pattern height increased to 13 ± 1.2 nm (N = 58) (Figure 3B1). Interestingly, this height value is approximatively twice as high as the height obtained after 30 s of etching (5.2 ± 0.6 nm). However, the pattern showed an erosion start at half-distance of each of its lateral edges (Figure 3A1,B1). Surprisingly, after 600 s of etching, the measured pattern height was equal to 16.6 ± 5.3 nm (N = 11), which is ∼7 times smaller than the expected value (Figure 3C2). Control measurements reveal that no more than 20 nm of oxide are removed with this process time. This observation clearly indicates that an adlayer blocking the etching reaction was formed onto the surface. As mentioned earlier, magnesium is needed to attach DNA origami onto the surface. In order to determine its potential role on the blocking of the reaction, we quantified its amount onto an SiO2 substrate incubated with only the buffer (5 mM Tris, 35 mM MgCl2, pH 9) then rinsed with the previously described EtOH/H2O mixtures and dried with N2

heating the process chamber and the gas lines and by lowering the overall pressure to allow it to easily leave the substrate.

RESULTS AND DISCUSSION High Resolution Hole Transfer from DNA to SiO2. The purpose of this work is to study the transfer of a 9 × 14 nm2 designed hole from a DNA origami cuboid (Figure 1A) into SiO2 and to determine the limits of this technique. The pattern transfer process relies on three main steps (Figure 1B). Each step of the pattern in transfer progression has been characterized with the appropriate AFM scanning mode. First, DNA cuboids are randomly attached to hydrophilic SiO2 substrate where the silanol groups present at the surface are deprotonated to fix the origami through electrostatic bonding mediated by Mg2+. DNA origami concentration (100 pM) has been carefully chosen to avoid aggregation onto the substrate (see Supporting Information Figure S1). The cuboids are characterized by liquid AFM using the PeakForce Tapping mode to better control the force applied to the cuboids. Because the targeted critical dimension of the hole (i.e., 9 × 14 nm2) is close to the AFM tip radius (i.e., 2−5 nm) and could shrink during the transfer process, we needed to define graph processing methods suitable for fully and partially characterized hole. These methods consist of measuring the hole width at the tangent of the hole apexes if the tip can go deep inside the cavity and using the negative step height Gwyddion program for narrowed cavities. The measurements were carried out on several distinct structures (N) and the errors were calculated with the 3σ value to be in tune with standard metrology methods for lithography. Figure 2A1,B1 shows full morphological characterization of the origami cuboid in liquid. The measured height was 6.6 ± 0.8 nm and the hole width was 15.1 ± 1 nm (N = 37) (Figure 2C1). Afterward, substrates have been dried with ethanol/water mixtures (Figure 2A2,B2,C2; see Supporting Information Figure S2). The height of dried cuboids was 5.7 ± 0.3 nm and the measured hole width decreased to 9.8 nm (N = 41). We also noticed that the lateral dimension of hydrated origami which was 51.7 ± 3 nm (N = 38) increased to 58.8 ± 9.4 nm (N = 39) and probably is responsible for the shrinkage of the hole width. Similar height loss and increased width for dried DNA compared to hydrated sample have already been reported earlier22 and were respectively attributed to tip-induced compression of the DNA helix or differences between tip−sample interaction and to capillary effect. Semiconductor industry HF vapor etching machines use etching recipes that could be harsh for DNA nanostructures. Our etching process removes 6 nm of oxide (etching rate of 0.2 nm/s) and involves (1) atmospheric air pumping to replace the air by N2 that will transport gases in the pressurized reaction chamber and (2) mixing ethanol vapor and anhydrous HF gas at 45 °C to provide a wide and stable process window. To test whether these working conditions do not remove DNA from the substrate or destroy it, the dried samples were subjected to an etching step using the described process. We found that the cuboid shape was not destroyed and DNA origami was still attached to the substrate (Figure 2A3; see Supporting Information Figure S3). Surprisingly, the measured height, expected to be around 13 nm (DNA height + theoretical 6 nm of removed oxide), was 8.1 ± 0.8 nm (N = 47) (Figure 2B3,C3). This observation, in addition to the wide dispersion of the DNA cavity width, which was 10.4 ± 3.4 nm (N = 47), is certainly due to the inappropriate tapping mode AFM used to characterize these uncleaned samples. In fact, we noticed the AFM tip was strongly attracted to the surface after 6460

DOI: 10.1021/acsnano.6b00413 ACS Nano 2016, 10, 6458−6463

Article

ACS Nano

caused the destruction of the hole (Figure 3A2,B2). Several protruding features were organized as 4-fold SiO2 blocks (see Supporting Information Figures S8−10). As previously observed for the degradation of triangular-shaped DNA origami,19 because of depurination occurring at extreme acidic pH,25 we believe this pattern disintegration is correlated with the degradation of the DNA cuboid at the weakest points of the scaffold DNA strand (see Supporting Information Figure S11) under destabilizing conditions. Further study with other structures containing expected breaking points is needed to understand the detailed reasons behind this observation.

CONCLUSIONS We demonstrated a sub-10-nm SiO2 patterning with DNA origami template using HF vapor etching process for time ranging from 30 to 60 s at an etching rate of 0.2 nm/s. Because we controlled the water presence during the etching process, DNA origami behaves like a negative resist protecting then the underlying SiO2 from etching. By monitoring the surface topography at every step of the process, we have shown that the etching does not damage the DNA origami mask or remove it from the substrate. For long time process (600 s), the transferred patterns start eroding leading to the hypothesis that origami undergoes localized destruction of its original shape. We strongly believe that the pattern erosion is guided by the origami design, suggesting that thicker nanostructures might be more adapted for DNA based-lithography under HF vapor. Moreover, in these conditions, SiO2 etching is limited to 20 nm depth. This is probably due to an MgF2 adlayer formation consecutive to the reaction of the Mg2+ entirely covering the surface with the fluorine. Because ionic contaminants are not welcomed within cleanrooms, there is a serious need to fabricate DNA nanostructures that do not request cations anymore for negative charge repulsion, or at least, strictly limit such ionic presence. We believe that the combination of small sized pattern in agreement with the semiconductor roadmap requirements and an easy, reproducible, high speed, and low cost process will put forward DNA-based lithography as a tremendous candidate for next generation lithography.

Figure 3. AFM images and cross sections of SiO2 substrate after long time HF vapor etching. All values are given in nm. (A) 2D representation of SiO2 pattern. White dotted lines indicate cross sections. Arrows indicate an erosion zone. Scale bars: 50 nm. 1. After 60 s etching. 2. After 600 s etching. (B) 3D representation of vertical corresponding images in (A). (C) Profiles of cross sections in (A). Red lines indicate the method used to measure the lateral dimension of holes within SiO2 pattern (i.e., negative step height method).

flow. The analysis has been conducted using the vapor phase decomposition-droplet collection-inductively coupled plasma mass spectrometry (VPD-DC-ICPMS) technique.23 The results revealed that the bare substrate has a density of magnesium inferior to the detection threshold (i.e., 7.5 × 1012 atom/cm2), whereas the substrate which has been treated with buffer has a density of magnesium of 1.1 × 1015 atom/cm2. Control analysis of sodium presence onto the surface revealed a density inferior to the detection threshold (i.e., 6.9 × 1013 atom/cm2). Because the MgCl2 proportion represents ∼87.5% of the buffer molarity and knowing that the wafers used in this work have a density of Si of 6.78 × 1014 atom/cm2 and that SiOH groups are used to bind Mg2+, these results demonstrate that magnesium is fully covering the surface of the substrates and is probably responsible for the roughness onto the substrate (see Supporting Information Figure S7). Hence, the blocking of the etching reaction could be explained by the reaction of magnesium with the hot water vapors produced during the etching reaction to form magnesium hydroxide Mg(OH) 2 . It is a well-known phenomenon encountered in top-down fabrication that magnesium hydroxide reacts with HF during etching. The so-formed product, magnesium fluoride (MgF2), is insoluble in the etching medium and behaves like a protective mask blocking the etching reaction.24 The combination of the large amount of Mg2+ needed to counteract the negative charges of the deprotonated silanol groups and the reaction chemistry of magnesium with water and HF makes us believe that, at 600 s HF treatment, MgF2 is formed on SiO2 blocking the whole etching reaction. However, further experiments are needed to verify this hypothesis. At 600 s of etching, the erosion phenomenon was accentuated leading to a complete loss of the original shape of the pattern that

METHODS Si-SiO2 Substrates Preparation Procedure. All four wafers are 200 mm n-type prime (1 0 0) silicon, 3−6 Ω·cm, 725 μm thickness. They are cleaned successively with Caro acid (peroxymonosulfuric acid) to remove organic components and with the RCA cleaning process26 to remove particles and ionic components on the wafer. Two wafers are then oxidized with water vapor at 950 °C to produce a thermal oxide layer of 200 nm. The four wafers are cleaned with the SC1 process to generate hydroxyl groups. Finally, the wafers containing the thermally grown oxide are bonded with the wafers containing native oxide at room temperature. The bonding reaction occurred through van der Waals type hydrogen bonding interactions between the water molecules naturally present at the hydrophilic surface of the wafers27 and the polar hydroxyl groups.28 This direct bonding allows the preservation of the hydrophilicity of the wafers and because room temperature bonding is reversible, the wafers can easily be separated by inserting a blade at the rim of the wafers.29 DNA Origami Adsorption Protocol. DNA origami were obtained from Tilibit nanosystems (Germany). They were provided at a concentration of 100 nM in a 1X Tilibit buffer (1 mM Tris base, 1 mM EDTA, 5 mM NaCl, 5 mM MgCl2). For 6461

DOI: 10.1021/acsnano.6b00413 ACS Nano 2016, 10, 6458−6463

Article

ACS Nano

AUTHOR INFORMATION

this work, origami are diluted to 100 pM in the following buffer: 5 mM Tris, 35 mM MgCl2, pH 9,7 and 10 μL of origami solution are incubated for 2 h on a 1 cm2 piece of SiO2 extracted from the wafer described above. Substrates Rinsing and Cleaning. After DNA incubation, substrates are immersed successively for 3 s in each of the following EtOH/H2O mixtures: 25%, 50%, 70%, 80%, and 90%. After the final immersion, the substrate is dried gently under a N2 flow. The same cleaning procedure is used for bare substrates incubated with only the buffer. AFM Imaging. AFM measurements on substrates before etching were done several times either in air or in solution with PeakForce Tapping mode. PeakForce Tapping mode offers a much greater z resolution for 3D DNA origami by allowing a better control of the force applied during scanning. After etching, AFM measurements were done several times in air using tapping mode. All images were acquired on Dimension Icon, Bruker with ScanAsyst-Air (K = 0.4 N/m, resonant frequencies = 45−95 kHz) or SNL10-A (K = 0.35 N/m, resonant frequencies = 50−80 kHz) or supersharp SSS-NCHR (K = 42 N/m, resonant frequencies = 204−497 kHz) tips at a scanning speed of 0.25−0.5 Hz, a scanning force ranging from 25 to 200 pN. Images resolution is 512 × 512 pixels for 1 × 1 μm image and 114 × 114 pixels for 0.22 × 0.22 μm images. All images were processed using the Gwyddion software. Etching Procedure. HF vapor etching of SiO2 substrates are performed using a Primaxx Monarch 3 etch system. Times processes are 30, 60, or 600 s. The temperature and the pressure of the process chamber were respectively 45 °C and 75 Torr. The volume of HF, ethanol, and N2 in the process chamber were 300, 338, and 1200 sccm, respectively. The durations for HF treatment were 30, 60, or 600 s. To avoid the substrates from flying away when the vacuum of chamber is turned on, they are packed together and wedged with small pieces of silicon. Vapor Phase Decomposition-Droplet Collection-Inductively Coupled Plasma Mass Spectrometry Analysis. Experiments were conducted in an ISO-5 cleanroom and substrates were manipulated with plastic tweezers to avoid any metallic contamination onto the substrates. First, 10 μL of buffer (5 mM Tris, 35 mM MgCl2, pH9) were incubated for 2 h on a 1 cm2 SiO2 substrate containing 200 nm of oxide. After incubation, the substrate was rinsed in the previously described EtOH/H2O mixtures and dried with N2 flow. The same cleaning procedure was used for the control that was not treated with buffer. After cleaning, the substrates were put inside a homemade VPD reactor on a cooling plate and were subjected to HF vapors exposure. Hence, the metallic impurities trapped in the H2O microdroplets newly formed onto the wafer surface were collected by manual deposition and scanning of a bigger droplet (80 μL of diluted HF/H2O2 mixture) on the wafer surface. This volume is completed to 1 mL with diluted HNO3 prior to analysis with an Agilent ICPMS7500sc.

Corresponding Authors

*E-mail: [email protected]. *E-mail: [email protected]. Notes

The authors declare no competing financial interest.

ACKNOWLEDGMENTS We acknowledge financial support from the French Alternative Energies and Atomic Energy Commission (A3DN Flagship Project and Nanosciences Program). We thank Jean-Philippe Sobczak (Tilibit Nanosystems GmBH, Munchen) for his technical support on DNA nanostructures. We thank Denis Mariolle, Nicolas Chevalier, Carlos Beitia, Luca Costa, Thomas Boudou, and Fabio Comin for technical help and discussions on AFM experiments. We are also indebted to Pascal Mailley, Guillaume Nonglaton, Patrick Reynaud, François Parcy, and Aurélie Thuaire for group meeting discussions, Ahmed Gharbi for ellipsometry measurements, and Hervé Fontaine for ICPMS experiments. AFM measurements were carried out at the Nanocharacterization Platform (PFNC) of CEA-Minatec Campus and at the Grenoble Interuniversity Center of Microelectronics and Nanotechnologies. Etching experiments were carried out at the Upstream Technological Platform of CEA-Minatec Campus. REFERENCES (1) Neisser, M.; Wurm, S. ITRS Lithography Roadmap: 2015 Challenges. Adv. Opt. Technol. 2015, 4, 235−240. (2) Chevalier, X.; Nicolet, C.; Tiron, R.; Gharbi, A.; Argoud, M.; Pradelles, J.; Delalande, D.; Cunge, G.; Fleury, G.; Hadziioannou, G.; Navarro, C. Scaling-Down Lithographic Dimensions with BlockCopolymer Materials: 10 nm-Sized Features with PS-b-PMMA. Proc. SPIE 2013, 868006. (3) Sarrazin, A.; Pimenta-Barros, P.; Posseme, N.; Barnola, S.; Gharbi, A.; Argoud, M.; Tiron, R.; Cardinaud, C. PMMA Removal Selectivity to PS Using Dry Etch Approach for Sub-10 nm Node Applications. Semiconductor Technology International Conference 2015, 1. (4) Rothemund, P. W. K. Folding DNA to Create Nanoscale Shapes and Patterns. Nature 2006, 440, 297−302. (5) Ke, Y.; Ong, L. L.; Shih, W. M.; Yin, P. Three-Dimensional Structures Self-Assembled from DNA Bricks. Science 2012, 338, 1177− 1183. (6) Linko, V.; Dietz, H. The Enabled State of DNA Nanotechnology. Curr. Opin. Biotechnol. 2013, 24, 555−561. (7) Gopinath, A.; Rothemund, P. W. K. Optimized Assembly and Covalent Coupling of Single-Molecule DNA Origami Nanoarrays. ACS Nano 2014, 8, 12030−12040. (8) Kershner, R. J.; Bozano, L. D.; Micheel, C. M.; Hung, A. M.; Fornof, A. R.; Cha, J. N.; Rettner, C. T.; Bersani, M.; Frommer, J.; Rothemund, P. W. K.; Wallraff, G. M. Placement and Orientation of Individual DNA Shapes on Lithographically Patterned Surfaces. Nat. Nanotechnol. 2009, 4, 557−561. (9) Pillers, M.; Goss, V.; Lieberman, M. Electron-Beam Lithography and Molecular Liftoff for Directed Attachment of DNA Nanostructures on Silicon: Top-Down Meets Bottom-Up. Acc. Chem. Res. 2014, 47, 1759−1767. (10) Scheible, M. B.; Pardatscher, G.; Kuzyk, A.; Simmel, F. C. Single Molecule Characterization of DNA Binding and Strand Displacement Reactions on Lithographic DNA Origami Microarrays. Nano Lett. 2014, 14, 1627−1633. (11) Penzo, E.; Wang, R.; Palma, M.; Wind, S. J. Selective Placement of DNA Origami on Substrates Patterned by Nanoimprint Lithography. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.–Process., Meas., Phenom. 2011, 29, 06F205−06F205−5.

ASSOCIATED CONTENT S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsnano.6b00413. Large views of AFM images during each step of the pattern transfer from DNA cuboid to SiO2, a SEM image of SiO2 patterns, the scaffold folding path of the DNA cuboid, and the impact of the buffer components on the surface roughness are presented. (PDF) 6462

DOI: 10.1021/acsnano.6b00413 ACS Nano 2016, 10, 6458−6463

Article

ACS Nano (12) Ding, B.; Wu, H.; Xu, W.; Zhao, Z.; Liu, Y.; Yu, H.; Yan, H. Interconnecting Gold Islands with DNA Origami Nanotubes. Nano Lett. 2010, 10, 5065−5069. (13) Gao, B.; Sarveswaran, K.; Bernstein, G. H.; Lieberman, M. Guided Deposition of Individual DNA Nanostructures on Silicon Substrates. Langmuir 2010, 26, 12680−12683. (14) Gerdon, A. E.; Oh, S. S.; Hsieh, K.; Ke, Y.; Yan, H.; Soh, H. T. Controlled Delivery of DNA Origami on Patterned Surfaces. Small 2009, 5, 1942−1946. (15) Becerril, H. A.; Woolley, A. T. DNA Shadow Nanolithography. Small 2007, 3, 1534−1538. (16) Deng, Z.; Mao, C. Molecular Lithography with DNA Nanostructures. Angew. Chem., Int. Ed. 2004, 43, 4068−4070. (17) Surwade, S. P.; Zhao, S.; Liu, H. Molecular Lithography through DNA-Mediated Etching and Masking of SiO2. J. Am. Chem. Soc. 2011, 133, 11868−11871. (18) Zhou, F.; Michael, B.; Surwade, S. P.; Ricardo, K. B.; Zhao, S.; Liu, H. Mechanistic Study of the Nanoscale Negative-Tone Pattern Transfer from DNA Nanostructures to SiO2. Chem. Mater. 2015, 27, 1692−1698. (19) Kim, H.; Surwade, S. P.; Powell, A.; O’Donnell, C.; Liu, H. Stability of DNA Origami Nanostructure under Diverse Chemical Environments. Chem. Mater. 2014, 26, 5265−5273. (20) Butterbaugh, J. W.; Muscat, A. J. In Handbook of Silicon Wafer Cleaning Technology, 2nd ed.; Reinhardt, K. A., Kern, W., Eds; William Andrew: Norwich, NY, 2008; pp 281−304. (21) Jang, W. I.; Choi, C. A.; Lee, C. S.; Hong, Y.; Lee, J. H.; Baek, J. T.; Kim, B. W. Silicon Surface Micromachining by Anhydrous HF GasPhase Etching with Methanol. Proc. SPIE 1998, 143. (22) Lyubchenko, Y. L.; Shlyakhtenko, L. S. Visualization of Supercoiled DNA with Atomic Force Microscopy in situ. Proc. Natl. Acad. Sci. U. S. A. 1997, 94, 496−501. (23) Devita, M.; Fontaine, H.; Drogue, N.; Mathiot, D.; Enyedi, V.; Lardin, T. Collection Efficiency of Noble Metallic Contaminants on Si Wafers with HF-Aqua Regia Mixtures for VPD-DC ICPMS Analysis. Solid State Phenom. 2014, 219, 268−271. (24) Wang, Q.; Duan, Y.; Lu, B.; Ding, Y.; Tang, Y. Imprint Template Fabrication Based on Glass Wet Etching Using a Soft Etching Mask. J. Micromech. Microeng. 2006, 16, 564. (25) Lindahl, T. Instability and Decay of the Primary Structure of DNA. Nature 1993, 362, 709−715. (26) Kern, W.; Puotinen, D. A. Cleaning Solutions Based on Hydrogen Peroxide for Use in Silicon Semiconductor Technology. RCA Rev. 1970, 31, 187−206. (27) Mizushima, S. Determination of the Amount of Gas Adsorption on SiO2/Si(100) Surfaces to Realize Precise Mass Measurement. Metrologia 2004, 41, 137. (28) Plach, T.; Hingerl, K.; Tollabimazraehno, S.; Hesser, G.; Dragoi, V.; Wimplinger, M. Mechanisms for Room Temperature Direct Wafer Bonding. J. Appl. Phys. (Melville, NY, U. S.) 2013, 113, 094905. (29) Gösele, U. M.; Stenzel, H.; Reiche, M.; Martini, T.; Steinkirchner, H.; Tong, Q.-Y. History and Future of Semiconductor Wafer Bonding. Solid State Phenom. 1996, 47−48, 33−44.

6463

DOI: 10.1021/acsnano.6b00413 ACS Nano 2016, 10, 6458−6463