Grafted Functional Polymer Nanostructures Patterned Bottom-Up by

Jan 28, 2009 - Nathan J. Trujillo, Salmaan H. Baxamusa and Karen K. Gleason*. Department of Chemical Engineering, Massachusetts Institute of Technolog...
0 downloads 0 Views 2MB Size
742

Chem. Mater. 2009, 21, 742–750

Grafted Functional Polymer Nanostructures Patterned Bottom-Up by Colloidal Lithography and Initiated Chemical Vapor Deposition (iCVD) Nathan J. Trujillo, Salmaan H. Baxamusa, and Karen K. Gleason* Department of Chemical Engineering, Massachusetts Institute of Technology, 77 Massachusetts AVenue, Cambridge, Massachusetts 02139 ReceiVed NoVember 5, 2008. ReVised Manuscript ReceiVed December 19, 2008

Colloidal lithography, a popular inexpensive alternative to conventional lithography, uses two-dimensional self-assembled monolayer arrays of colloidal nanoparticles as a lithographic template. Combined with initiated chemical vapor deposition (iCVD), which offers unprecedented opportunity for producing grafted polymeric layers, this work demonstrates a generic “bottom-up” process as an inexpensive, simple, and environmentally friendly technique for creating robust well-ordered arrays of functional patterned polymeric nanostructures up to 500 nm in height. These grafted “nanobowl” patterns are produced for a broad material set of functional organic, fluorinated, and silicon containing polymers. These polymers fully retain the organic functionality of their monomeric precursors, are free of wetting defects, and are robustly tethered to the underlying substrate as shown by their ability to withstand aggressive solvent. Furthermore, using this method we pattern a novel low dielectric constant polymer down to 25 nm without the need for environmentally harmful solvents.

Introduction Materials patterning through nonconventional lithography can reduce the cost of patterning fine structures when compared to traditional nanofabrication techniques such as photolithography.1 The current state-of-the-art in lithography couples 193 nm light with immersion lithography and allows for patterning of sub-45 nm features.2 These advanced developments require very costly instrumentation and have difficulty providing throughput commensurate industrial demands.3 Nanosphere lithography has emerged as a simple, convenient, low-cost method for creating large 2-D arrays of nanostructures.4 These are proven techniques which can also be used to fabricate complex opalescent 3-D photonic structures with both well-defined or tunable photonic bandgaps.5-7 Monodisperse colloidal particles can selfassemble into 2-D hexagonal arrays when deposited onto various substrates. By controlling the drying process, solution cast colloidal particles can self-assemble into a hexagonally close packed monolayer. Several strategies for creating centimeter-scale monolayers using commercially available latex spheres have been described.8,9 * Corresponding author. E-mail: [email protected].

(1) Chen, Y.; Pepin, A. Electrophoresis 2001, 22, 187–207. (2) Beccalli, A.; Canestrari, P.; Goeke, M.; Kanaoka, M.; Kandraschow, H.; Kuroda, T.; De Simone, D.; Piacentini, P.; Padovani, M.; Piazza, P.; Rossi, A. Proc. SPIE 2008, 6924, 69244. (3) Seung-Man, Y.; Se Gyu, J.; Dae-Geun, C.; Sarah, K.; Yu, H. K. Small 2006, 2, 458–475. (4) Xia, Y.; Gates, B.; Yin, Y.; Lu, Y. AdV. Mater. 2000, 12, 693–713. (5) Lange, B.; Fleischhaker, F.; Zentel, R. Macromol. Rapid Commun. 2007, 28, 1291–1311. (6) Gates, B.; Yin, Y.; Xia, Y. Chem. Mater. 1999, 11, 2827–2836. (7) Wang, J. Y.; Cao, Y.; Feng, Y.; Yin, F.; Gao, J. AdV. Mater. 2007, 19, 3865–3871.

The resulting void array in the particle interstices has been used as a patterning mask for over 25 years.10 Evaporation and sputtering into these interstices has been used to produce very thin films (250 nm, hemispherical “bowl” structures from functional polymers. The structures can either be spaced apart (Figure 1b) or adjoining (Figure 1c). Compared to solution polymerization, iCVD offers reduced polymer deposition time and avoids wetting effects, giving us the ability to produce homogeneous polymeric films for pattern synthesis from high- and low-surface-energy precursors. Because iCVD is a surface controlled process32 it affords unprecedented opportunity for producing adherent patterned polymer films.30 Vinyl groups covalently anchored to a surface can react with the initiating species by the same free (23) Valsesia, A.; Colpo, P.; Silvan, M. M.; Meziani, T.; Ceccone, G.; Rossi, F. Nano Lett. 2004, 4, 1047–1050. (24) Lejeune, M.; Valsesia, A.; Kormunda, M.; Colpo, P.; Rossi, F. Surf. Sci. 2005, 583, L142-L146. (25) Burkey, D. D.; Gleason, K. K. J. Appl. Phys. 2003, 93, 5143–5150. (26) Rau, C.; Kulisch, W. Thin Solid Films 1994, 249, 28–37. (27) Grill, A. J. Appl. Phys. 2003, 93, 1785–1790. (28) Grill, A.; Patel, V. J. Electrochem. Soc. 2006, 153, F169-F175. (29) Martin, T. P.; Lau, K. K. S.; Chan, K.; Mao, Y.; Gupta, M.; O’Shaughnessy, A. S.; Gleason, K. K. Surf. Coat. Technol. 2007, 201, 9400–9405. (30) Tenhaeff, W. E.; Gleason, K. K. AdV. Funct. Mater. 2008, 18, 979– 992. (31) Wong, T. K. S.; Liu, B.; Narayanan, B.; Ligatchev, V.; Kumar, R. Thin Solid Films 2004, 462, 156–160. (32) Lau, K. K. S.; Gleason, K. K. Macromolecules 2006, 39, 3688–3694.

744

Chem. Mater., Vol. 21, No. 4, 2009

radical mechanism responsible for polymerization of vinyl monomers, allowing propagation to proceed from the surfacebound radical. These grafting sites improve the adhesion for both the directly bound polymer chains, as well as the subsequently deposited chains which can become entangled in the grafted layer or branch out from the grafted chains. The ability to produce films with adhesion contrast is important to the novel patterning technique we describe, as patterns can be developed in an environmentally benign solvent. We employed the principle of colloidal lithography coupled with iCVD and grafting to create a generalized process for “bottom-up” patterning functional polymers. The excellent interfacial properties maximize the durability and reliability of these functional patterns for device applications.33 The methods we present in this report are quick, simple, and generic; they can be extended to create robust patterns of grafted functional nanostructures from any iCVD polymer without the need for environmentally harmful solvents. Experimental Section Preparation of Colloidal Monolayer. All chemicals were used as received without further purification. Four inch p-type silicon wafers (Waferworld) were cleaned for 5 min with capacitive oxygen plasma (13.56 MHz, 100 W/cm2, 100 mTorr). A solution of monodisperse polystyrene nanoparticles, 2.5 wt % (1 µm, 200 nm, 80 nm nominal diameter, Polysciences) in water, was mixed 1:1 with a surfactant solution (Triton X-100:methanol/1:400 volume) (Fischer Scientific),34 cast onto the plasma cleaned wafer in discrete 2.0 µL droplets, and allowed to dry under ambient conditions for 20 min. To remove any residual water, the samples were then placed into a nitrogen purged vacuum oven (VWR, 1400E) which was maintained at 60 °C and -15 in. Hg gauge pressure. After 3 min the nitrogen flow was stopped, and the samples were exposed to tricholorvinylsilane (Aldrich, 98%) vapor at -30 in. Hg gauge pressure for 6 min. iCVD Film Grafting. The custom built iCVD vacuum reactor configuration has previously been detailed.32 iCVD deposition conditions were adopted from previously reported work, for n-butyl acrylate (BA, Aldrich, 99%),32 hydroxyethyl methacrylate (HEMA, Aldrich, 99%),35 1H,1H,2H,2H-perfluorodecyl acrylate (PFDA, Aldrich, 97%),36 and pentafluorophenyl methacrylate (PFM, Monomer-Polymer, 95%).37 Tetravinyltetramethylcylcotetrasiloxane (V4D4, Gelest) monomer, heated to 90 °C, and tert-butyl peroxide (Aldrich, 98%) initiator, at room temperature, were delivered into the reactor at 1.0 and 0.5 sccm, respectively. The reactor pressure, substrate temperature, and filament temperature were maintained at 350 mTorr, 50 °C, and 300 °C respectively. For each polymer, three samples were simultaneously coated via iCVD: (1) colloid template on silane treated substrate, (2) colloid template on hydroxylated substrate, and (3) blank silicon substrate for measuring film thickness in situ by laser interferometry. To keep from overcoating the template, all V4D4 depositions were terminated after a film thickness, equal to the radius of the template, had been deposited. (33) Im, S. G.; Yoo, P. J.; Hammond, P. T.; Gleason, K. K. AdV. Mater. 2007, 19, 2863–2867. (34) Hulteen, J. C.; Treichel, D. A.; Smith, M. T.; Duval, M. L.; Jensen, T. R.; Van Duyne, R. P. J. Phys. Chem. B 1999, 103, 3854–3863. (35) Chan, K.; Gleason, K. K. Langmuir 2005, 21, 8930–8939. (36) Gupta, M.; Gleason, K. K. Langmuir 2006, 22, 10047–10052. (37) O’Shaughnessy, W. S.; Mari-Buye, N.; Borros, S.; Gleason, K. K. Macromol. Rapid Commun. 2007, 28, 1877–1882.

Trujillo et al. Template Removal. Patterns produced from linear polymers were ultrasonicated by a 70 W ultrasonic cleaner, in 30 mL of THF (Aldrich, >99%) for 10 min, to remove the colloidal template. The solvent was then replaced with fresh THF and the samples were left to soak in the solvent overnight, for at least 8 h. The V4D4 samples were ultrasonicated in 30 mL of IPA (Aldrich, >99%) for 1 h and subsequently dried under nitrogen. PFM Functionalization. PFM patterns were functionalized with fluorescein-5-thiosemicarbazide (Molecular Probes) for 30 min using techniques described elsewhere.37 To remove any unreacted ligands, the functionalized patterns were triple washed by shaking with 30 mL of ethanol in a sealed glass jar. Film Characterization. The iCVD film composition was elucidated by transmission mode FT-IR spectroscopy (Nicolet Nexus 870 ESP) using a DTGS-KBr detector. Spectra were obtained through the patterned samples over the range of 400-4000 cm-1 at 4 cm-1 resolution averaged over 64 scans and baseline corrected. Contact angle measurements were performed with a contact angle goniometer with automatic dispenser (Rame’-Hart Model 500) using 2.5 µL water droplets. Nanostructure Characterization. The patterned samples were sputter coated with 5 nm of gold, and SEM images were obtained using a JEOL JSM 6060 with 5 kV acceleration voltage. Sub-100 nm patterns were imaged using a JEOL 6320FV field-emission high-resolution SEM at 2 kV acceleration voltage. Fluorescence microscope images were obtained at 50× magnification using a Zeiss Axiovert 200 inverted microscope with FITC illumination. Atomic force microscope images were generated using a scanning Probe microscope (Digital Instruments, Dimension 3100) in tapping mode with a 1.0 Hz scan rate. Film thicknesses were measured using spectroscopic ellipsometry (J.A. Woollam M-2000S). Data was collected at a 68° incidence angle for 190 wavelengths between 315 nm and 718 nm. The data was fit to a Cauchy-Urbach model from which the thickness values were extracted.

Results and Discussion The process used for creating polymer patterns from 2-D colloidal templates is shown in Figure 2. A silicon substrate was treated with oxygen plasma both to remove any organic impurities38 and to increase the surface hydroxyl concentration.39 Increasing the hydroxyl concentration not only provides more surface sites for subsequent silyation chemistry but creates a hydrophilic surface which is critical for forming a monolayer of the colloidal particles.4 Monodisperse polystyrene nanoparticles were cast onto the plasma treated wafer in discrete droplets and allowed to dry under ambient conditions (Figure 2a). The samples were then loaded into a vacuum oven and exposed to tricholorvinylsilane vapor. A monolayer of vinyl-silane coupling agent was covalently bound onto the silicon substrate through hydrolysis of the chlorine moieties by surface hydroxyls.40 This occurs only in the exposed regions of the substrate through the particle interstices (Figure 2b), thus providing an anchor point for grafting iCVD polymer. A blanket polymer film was then deposited onto the substrate via iCVD (Figure 2c), with only the polymer deposited in the interstices undergoing grafting (38) Shirtcliffe, N. J.; Stratmann, M.; Grundmeier, G. Surf. Interface Anal. 2003, 35, 799–804. (39) Amirfeiz, P.; Bengtsson, S.; Bergh, M.; Zanghellini, E.; Borjesson, L. J. Electrochem. Soc. 2000, 147, 2693–2698. (40) Krishnamoorthy, S.; Pugin, R.; Brugger, J.; Heinzelmann, H.; Hinderling, C. AdV. Mater. 2008, 20, 1962–1965.

Grafted Functional Polymer Nanostructures

Figure 2. Generic process scheme for producing patterned polymeric nanostructures using colloidal lithography. A hydroxylated substrate, which has been treated with an oxygen plasma, serves as a hydrophilic base for depositing a 2-D assembly of colloidal nanoparticles (a). The masked sample is then treated with a vapor phase silane coupling agent, which covalently attaches vinyl groups to the substrate in the exposed regions of the colloidal mask (b). This acts as an adhesion promoter to graft the functional iCVD polymer, which is subsequently deposited (c). The grafted film is sonicated in solvent to remove the colloidal template and any ungrafted polymer. This reveals an array of bowl-shaped nanostructures patterned in a hexagonal arrangement (d).

through the surface vinyl groups. The grafted films were subsequently placed in an ultrasonic bath and rinsed in THF to lift off the polystyrene particles and any nongrafted polymer. This step revealed largely ordered honeycomb-like arrays of polymer covalently bound to the substrate through free radical polymerization about the substrate-tethered vinyl group (Figure 2d). To demonstrate the versatility of this process, functional homopolymer patterns were produced from five different monomer precursors. Figure 3 depicts the FT-IR spectra and the molecular structure of the various iCVD films which were successfully patterned with 2-D colloidal templates. The biocompatible polymer pBA has a low glass transition temperature (-55 °C), which makes it attractive as a noncrystallizable segment in shape memory polymers.41,42

Chem. Mater., Vol. 21, No. 4, 2009 745

Figure 3. FT-IR spectra for (a) butyl acrylate monomer, (b) corresponding iCVD film, (c) HEMA monomer, (d) corresponding iCVD film, (e) PFDA monomer, (f) corresponding iCVD film, (g) PFM monomer, (h) corresponding iCVD film, (i) V4D4 monomer, and (j) corresponding iCVD film. The gray bar highlights the position of the vinyl CdC absorption. The noted reduction in this peak in the iCVD polymer is consistent with free-radical polymerization. The functional similarity between monomers and their corresponding iCVD film is highlighted with asterisks (*) and suggests the preservation of functional groups in the polymer films.

Alkyl acrylates have a characteristic carbonyl stretch between 1732 and 1736 cm-1.32 This peak remains unchanged from the BA monomeric precursor (Figure 3a) and iCVD polymer film (Figure 3b). Furthermore, the pBA polymer can be identified through the double band at 950 cm-1,32 thereby indicating the preservation of the original functionality, and by the absence of the vinyl peaks present in the monomer precursor between 1630 cm-1 and 1650 cm-1 and also at 1410 cm-1. The iCVD pHEMA behaves as a hydrogel and can absorb high water content and swell in the absence of cross-linker.35 The CdO stretching modes between 1750 and 1690 cm-1, CsO stretching (1300-1200 cm-1), and CsH bending at 1500-1350 cm-1 appear in the spectra obtained for both (41) Lendlein, A.; Schmidt, A. M.; Langer, R. Proc. Natl. Acad. Sci. U.S.A. 2001, 98, 842–847. (42) Kelch, S.; Steuer, S.; Schmidt, A. M.; Lendlein, A. Biomacromolecules 2007, 8, 1018–1027.

746

Chem. Mater., Vol. 21, No. 4, 2009

the monomer precursor (Figure 3c) and the as-deposited film (Figure 3d). There is also a broad peak centered at 3450 cm-1 (not shown) which is present in both monomer and polymer spectra and signifies that the functional hydroxyl group is retained after polymerization. This is consistent with previously reported results,35 which indicate that the entire functional pendant hydroxyethyl functional group is retained in the iCVD polymer. By preserving this delicate functionality, iCVD preserves the ability to create patterned, responsive surfaces. The iCVD pPFDA is a low surface energy (9.3 mN/m)36 fluorocarbon film which imparts superhydrophobic behavior to various surfaces. PFDA contains two sharp peaks at 1207 and 1246 cm-1 corresponding to the -CF2- symmetric and asymmetric stretching modes as well as a third peak at 1153 cm-1 which represents the -CF2-CF3 end group.36 The strong presence of these peaks in both the monomer (Figure 3e) and polymer (Figure 3f) spectra indicates that the iCVD film retains the original fluorine functionality present in the precursor. The iCVD pPFM is another functional fluoropolymer, which is useful for immobilizing biomolecules through a single-step nucleophilic substitution of the pentafluorophenyl moiety by a primary amine.37 The intense peak at 1523 cm-1 is characteristic of the fluorine substituted phenyl moiety and is present in both the monomer precursor (Figure 3g) and the iCVD polymer (Figure 3h). Peaks at 1069 cm-1 and 1000 cm-1, corresponding to the C-O ester bond and C-F bonds, respectively, are also found in both the polymer and the monomer spectra. The low energy input for free radical polymerization and absence of energetic charged species preserves the delicate ester side group, which can be damaged in alternative vapor deposition processes.43 Low dielectric constant, k, polymers have been introduced into the interconnect structures of integrated circuit to improve device performance by reducing cross talk and power consumption associated with parasitic capacitance losses through the intralevel connections.44 Cyclic organosilicon polymers are a class of low-k materials identified to facilitate integration into the interconnect structure of integrated circuits because of their attractive electrical, mechanical, and thermal properties.45 V4D4 is a cyclic siloxane, with a structure analogous to commercially available low-k precursors and with a “puckered” ring that allows for the formation of a 3D bonding network through vinyl cross-links. Figure 3 contains FT-IR spectrum from both the monomer precursor (i) and the as-deposited dense iCVD pV4D4 film (j). Film deposition through free-radical vinyl polymerization is apparent by the reduction of the vinyl absorption bands at 960 cm-1, 1410 cm-1, and 1600 cm-1 and the subsequent formation of methylene bridges at 2900 cm-1 (not shown).46 The methyl groups bound to Si appear (43) Francesch, L.; Borros, S.; Knoll, W.; Forch, R. Langmuir 2007, 23, 3927–3931. (44) Maier, G. IEEE Electrical Insulation Magazine 2004, 20, 6–24. (45) Grill, A. In Dielectric Films for AdVanced Microelectronics; Mikhail, R., Baklanov, M. L. G. K. M., Eds.; Wiley: Chichester, U.K., 2007; p 1--32. (46) Lubguban, J.; Rajagopalan, T.; Mehta, N.; Lahlouh, B.; Simon, S. L.; Gangopadhyay, S. J. Appl. Phys. 2002, 92, 1033–1038.

Trujillo et al.

between 1230 cm-1 and 1280 cm-1. The region between 950 cm-1 and 1200 cm-1 corresponds to Si-O skeleton stretching. This region corresponds to three different substructures (Si-O bonding environments): suboxide with bond angle 8 h total); however, the linear homopolymers of Figure 4 do not contain a cross-linker and are extremely soluble in common solvents. The use of adhesion promoter prevents the patterned polymer from dissolving into the solvating media, allowing for the creation of robust patterns with features as small as 150 nm in width (Figure 6a). The patterns produced on surface without adhesion promoter were incapable of withstanding a gentle 5 s rinse in THF (Figure 6b). Therefore, the covalent attachment between the polymer and the substrate is a necessary step and provides a robust interface that renders the patterns insoluble in aggressive solvents. (58) Ahmad, N. M.; Heatley, F.; Lovell, P. A. Macromolecules 1998, 31, 2822–2827.

Grafted Functional Polymer Nanostructures

Chem. Mater., Vol. 21, No. 4, 2009 749

Figure 7. SEM images for patterned V4D4 dielectric polymer using (a) 1 µm, (b) 200 nm, and (c) 80 nm diameter templates. The template was removed in an ultrasonic bath of an environmentally friendly solvent, isopropyl alcohol. The smallest obtainable features were 25 nm in width, obtained from 80 nm particles.

Because the adhesion promoter acts only on the iCVD polymer, the adhesion contrast between polymer/substrate and polymer/template interfaces allows for patterns to be generated without having to dissolve the colloidal template. Therefore, the use of an aggressive solvent, many of which are environmentally harmful, is not a requirement for producing these robust structures, as the colloidal template can be removed by simple agitation in a mild, environmentally friendly medium. To demonstrate this concept we produced patterns from pV4D4 which were developed in isopropyl alcohol (IPA). Neither the pV4D4 films, which are highly cross-linked, nor the polystyrene template are soluble in IPA. Furthermore, IPA is biodegradable, not likely to bioconcentrate, has low potential to affect organisms, and is an environmentally friendly substitute for common solvents used in lithography such as tetramethylammonium hydroxide59 or hydrofluoric acid.4,60-62 The use of a mild medium is also an improvement upon more typical techniques for template removal by calcination;4,17,63,64 as such high temperatures are incompatible with functional group retention in polymers. We created high-resolution features with this process by using three different particle sizes (1 µm, 200 nm, and 80 nm) as the patterning template. Figure 7 contains (59) Lee, H. S.; Yoon, J. B. J. Micromech. Microeng. 2005, 15, 2136. (60) Wang, Y.; Angelatos, A. S.; Caruso, F. Chem. Mater. 2008, 20, 848– 858. (61) Venkatesh, S.; Jiang, P.; Jiang, B. Langmuir 2007, 23, 8231–8235. (62) Yan, F.; Goedel, W. A. Nano Lett. 2004, 4, 1193–1196. (63) Garno, J. C.; Amro, N. A.; Wadu-Mesthrige, K.; Liu, G. Y. Langmuir 2002, 18, 8186–8192. (64) Wang, Y. F.; Zhang, J. H.; Chen, X. L.; Li, X.; Sun, Z. Q.; Zhang, K.; Wang, D. Y.; Yang, B. J. Colloid Interface Sci. 2008, 322, 327– 332.

SEM images for V4D4 patterns developed in an ultrasonic bath of IPA for 1 h. The patterns produced with 1 µm particles (Figure 7a), 200 nm particles (Figure 7b), and 80 nm particles (Figure 7c) have an identical nanostructure to those shown in Figure 4. The physical agitation resulting from the ultrasonic bath jostles the template loose from the cross-linked polymer film, leaving behind the pattern of hemispherical depressions, thus allowing us to develop patterns across several length scales. To facilitate the template removal, the film thickness was controlled to minimize the overcoating on the template. We achieved feature dimensions as small as 25 nm in width for patterns produced from 80 nm particles, using IPA as the developer. The ability to create robust low-k materials using a low energy/waste process, such as iCVD, and substitute harmful solvents for patterning sub-50 nm features, is a step toward EHS-focused dielectric processing. These steps are necessary to meet the newly instituted ITRS roadmap requirements for environmentally friendly semiconductor manufacturing65 and to reduce costs when compared to more conventional PECVD and spin-on processes. The above experimental procedure created regions of monolayer coverage with an area greater than 0.3 cm2. This method was not optimal for creating the largest areal monolayer coverage as Langmuir-Blodgett type techniques have been described for depositing a continuous monolayer of colloidal particles over areas of several cm2.8,9 Practical implementation of these robust functional polymer arrays would require large-scale ordering of the colloidal (65) ITRS 2006 International Technology Roadmap for Semiconductors, Interconnects, 2006.

750

Chem. Mater., Vol. 21, No. 4, 2009

template. The self-assembly must be free from line and point defects, since the colloidal crystal template defines the lowest level for the polymer patterning. By providing a topographically patterned template, which can be produced via traditional lithography or by electrode assisted assembly, 66 one could achieve long-range order within a nanopatterned regime.67 This provides precise definition of large scale spatially addressable features that can drive rational design at the nanoscale.67 Combining processes for large scale lowdefect self-assembly with our generic process for creating robust functional patterns is a rout toward hierarchical structures that are logically designed for widescale device fabrication and implementation for a variety of applications. Conclusions We have presented a simple set of techniques to create large well-ordered arrays of functional polymeric nanostructures that are covalently bound to the substrate. These structures were templated by a 2-D colloidal monolayer assembly and grafted onto the underlying substrate by a unique “bottom-up” approach. iCVD is the enabling technology that allowed production of grafted micro- and nanopatterned polymeric films. These films were produced by vinyl polymerization through the iCVD process. The preservation of the functional pendent groups was verified by FT-IR spectroscopy, contact angle measurement, and chemical functionalization. Patterns were generated for a broad (66) Winkleman, A.; Gates, B. D.; McCarty, L. S.; Whitesides, G. M. AdV. Mater. 2005, 17, 1507–1511. (67) Cheng, J. Y.; Ross, C. A.; Smith, H. I.; Thomas, E. L. AdV. Mater. 2006, 18, 2505–2521.

Trujillo et al.

material set of functional iCVD films, including organic polymers (pBA, pHEMA), fluoropolymers (pPFDA, pPFM), and organosilicones (pV4D4). The properties of these materials range from hydrophilic (pHEMA) to hydrophobic (pPFDA); from soluble linear polymers (pBA) to heavily cross-linked networks (pV4D4); and to films with highly reactive pendent groups which are readily biofunctionalized (pPFM). Wetting defects were absent for all iCVD produced structures, including fluoropolymers. All patterns survived long exposure to an aggressive solvent, and AFM imaging shows that the patterned films produce a “bowl” structure which matches the hemispherical geometry of the colloidal template, with features up to 500 nm in height. The lack of adhesion between the grafted films and the colloid template allows the patterns to be produced in an environmentally benign medium, as demonstrated by the ability to produce high resolution patterns of cross-linked dielectric polymer across a variety of length scales, down to 25 nm. This process is generic and is an inexpensive way to pattern any iCVD polymer to create high surface-area honeycomb patterns, which is attractive for a variety of applications where functional patterning is desired. Acknowledgment. The authors acknowledge the support of the NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing as well as the support of the National Defense Science and Engineering Graduate Fellowship. This work made use of shared electron microscopy facility in the MIT Center for Materials Science and Engineering (CMSE). CM803008R