Hollow Cathode Plasma-Enhanced Atomic Layer Deposition of Silicon

Mar 17, 2018 - †Department of Electrical Engineering and ‡Department of Materials Science and Engineering, The University of Texas at Dallas, 800 ...
10 downloads 9 Views 3MB Size
Subscriber access provided by UNIV OF SCIENCES PHILADELPHIA

Surfaces, Interfaces, and Applications

Hollow Cathode Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using Pentachlorodisilane Xin Meng, Harrison Sejoon Kim, Antonio T. Lucero, Su Min Hwang, Joy S. Lee, Young-Chul Byun, Jiyoung Kim, Byung Keun Hwang, Xiaobing Zhou, Jeanette Young, and Michael Telgenhoff ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.8b00723 • Publication Date (Web): 17 Mar 2018 Downloaded from http://pubs.acs.org on March 17, 2018

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 39 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Hollow Cathode Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using Pentachlorodisilane Xin Meng †, Harrison Sejoon Kim ‡, Antonio T. Lucero ‡, Su Min Hwang ‡, Joy S. Lee ‡, YoungChul Byun ‡, ┴, Jiyoung Kim *, †, ‡, Byung Keun Hwang *, §, Xiaobing Zhou §, Jeanette Young §, and Michael Telgenhoff § †

Department of Electrical Engineering, The University of Texas at Dallas, 800 West Campbell

Road, Richardson, Texas 75080, United States ‡

Department of Materials Science and Engineering, The University of Texas at Dallas, 800 West

Campbell Road, Richardson, Texas 75080, United States §

The Dow Chemical Company, 2200 W. Salzburg Road, Midland, Michigan 48686, United

States KEYWORDS: silicon nitride, pentachlorodisilane, PCDS, atomic layer deposition, ALD, hollow cathode plasma, plasma-enhanced ALD, PEALD

ABSTRACT: In this work, a novel chlorodisilane precursor, pentachlorodisilane (PCDS, HSi2Cl5), was investigated for the growth of SiNx via hollow cathode plasma-enhanced atomic layer deposition (PEALD). Well-defined self-limiting growth behavior was successfully

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

demonstrated over the growth temperature range of 270−360 °C. At identical process conditions, PCDS not only demonstrated approximately >20% higher growth per cycle (GPC) than that of a commercially available chlorodisilane precursor, hexachlorodisilane (HCDS, Si2Cl6), but also delivered a better or at least comparable film quality determined by characterizing the refractive index, wet etch rate, and density of the films. The composition of the SiNx films grown at 360 °C using PCDS, as determined by x-ray photoelectron spectroscopy (XPS), showed low O content (~2 at. %) and Cl content (20% higher GPC of SiNx obtained using PCDS indicates that

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

the novel precursor PCDS exhibits a significantly higher reactivity than HCDS, which is consistent with our expectation in the earlier discussion.

Figure 2. Saturation curves of the GPC as a function of (a) the precursor exposure time with a fixed plasma exposure time of 15 s and (b) the plasma exposure time with a fixed precursor exposure time of 1 s, respectively. PCDS (black) and HCDS (red) in combination with an N2/NH3 plasma (50/25 sccm, RF power 100 W) were used in this study. The growth temperature was 270 °C. The lines serve as a guide to the eye. With an increasing plasma exposure time, a slightly decrease of the R.I. (from 1.81 ± 0.01 to 1.78 ± 0.01 using PCDS, from 1.80 ± 0.01 to 1.79 ± 0.01 using HCDS) and a considerable increase of the WER (from 8.0 ± 0.3 to 12.7 ± 0.2 nm/min using PCDS, from 10.8 ± 0.2 to 11.8 ± 0.3 nm/min using HCDS) were also observed (Figure S1). The deterioration of film quality may be ascribed to the effect of the redeposition process (e.g., the reaction products are dissociated again in the plasma and deposited back to the film surface) and the change of film composition (e.g., hydrogen content, N/Si ratio) as the plasma exposure time increased.43

ACS Paragon Plus Environment

Page 10 of 39

Page 11 of 39 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Furthermore, as the plasma RF power increased from 100 to 200 W (Figure S2), the GPC slightly increased from 0.86 ± 0.02 to 0.91 ± 0.02 Å using PCDS while increased from 0.49 ± 0.02 to 0.66 ± 0.02 Å using HCDS. The WER also increased from 5.5 ± 0.2 to 8.6 ± 0.2 nm/min and from 7.0 ± 0.2 to 8.3 ± 0.2 nm/min for SiNx films grown using PCDS and HCDS, respectively. Additionally, the R.I. decreased from 1.81 ± 0.01 to 1.77 ± 0.01. Under a high RF power condition, the ions in the plasma can gain enough high energy and flux density, which exceed the optimal ALD process window, resulting in the degradation of film quality. The above observations clearly suggest that an excessively long plasma exposure time and high plasma RF power can deteriorate the quality of PEALD SiNx films. Therefore, the plasma exposure should be carefully optimized to allow sufficient surface reactions while avoiding or minimizing the side effects of plasma. Effect of Plasma Gas Composition. The effect of plasma gas composition on the growth of silicon nitride was investigated by comparing the saturation curves of the GPC as a function of the PCDS exposure time. The plasma gas compositions used in this study are (a) N2/NH3, (b) Ar/forming gas (10% H2 balance N2), and (c) N2. As shown in Figure 3, the compositions (a) containing NH3 resulted in the highest GPC (0.95 ± 0.02 Å at a 10 s exposure time). Besides, the composition (b) (free of NH3) also demonstrated saturation growth behavior, though yielding a lower GPC (0.77 ± 0.02 Å at a 10 s exposure time) than that of the compositions (a). The realization of NH3 free plasma-assisted SiNx growth using a chlorosilane precursor can be of great benefit for some applications. In contrast, under an H2-free and NH3-free plasma condition, plasma gas composition (c) containing only N2 resulted in a low GPC of 0.14−0.18 ± 0.01 Å. This is because chlorosilanes generally react with the −NH2/−NH− terminated surface generated by the reactive NHx species in NH3 or N2/H2 plasma.10, 14 XPS analysis indicated that the film

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

grown using PCDS and N2 plasma was silicon oxynitride with incomplete removal of the chlorine impurity, as shown in Figure S3. It is believed that the lack of NHx and H reactive species in the N2 plasma resulted in the incomplete removal of chlorine.

Figure 3. Saturation curves of GPC as a function of the PCDS exposure time under different plasma gas compositions. The lines serve as a guide to the eye. Effect of Growth Temperature. The effect of growth temperature was investigated in a temperature range between 270 °C and 360 °C. As shown in Figure 4a, the GPC was nearly constant within a temperature range from 270 °C to 360 °C using PCDS and HCDS. The weak dependence of GPC on the growth temperature clearly indicated a self-limiting growth behavior in the ALD process window. Furthermore, as the temperature increased, the R.I. significantly increased (Figure 4b) while the WER significantly decreased (Figure 4c). The improvement of film quality (higher R.I. and lower WER) can be explained by the densification effect at an elevated growth temperature. Provine et al. recently reported that silicon nitride films with higher

ACS Paragon Plus Environment

Page 12 of 39

Page 13 of 39 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

film density tended to have a lower WER in dilute HF solution.35 As shown in Figure 4d, the film density of silicon nitride increased as the temperature increased, contributing to a decrease in the WER. This could be directly related to the reduction of impurity defects (e.g., hydrogen content, incorporation of byproduct NH4Cl impurities) at an elevated temperature.10, 37

Figure 4. (a) GPC, (b) R.I., (c) WER, and (d) film density as a function of the growth temperature when PCDS (black) and HCDS (red) were used as the silicon precursor, respectively. The precursor exposure time was fixed at 1 s and the plasma exposure time was fixed at 15 s with a plasma RF power of 100 W. The plasma gas composition was N2/NH3 (30/90 sccm). The WER was evaluated in a 500:1 dilute HF solution. We clearly observed a reduction of N−Hx stretching mode signal in the FTIR spectra and a reduction of Cl 2p signal in the XPS spectra as the growth temperature increased, as shown in Figure S4. The distinguishable tiny peak in Cl 2p XPS spectra was likely because of either an incomplete removal of chlorine ligands or trace residue of the process byproduct, ammonia chloride (NH4Cl), at lower temperatures (e.g., 270 °C and 300 °C). If the growth temperature is lower than the decomposition temperature of NH4Cl (approximately 338 °C), the non-gas-phase NH4Cl residue may remain on the film as a source of chlorine impurity.10, 29

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Film Characterization. XPS Spectra. The composition of a SiNx film grown with PCDS was determined by XPS analysis. The sample for the analysis was 20 nm deposited on silicon using PCDS and N2/NH3 plasma at 360 °C. As shown in Figure 5, the shape of the Si 2p peak was nearly symmetric with a binding energy of ~101.8 eV, corresponding to the peak position of Si−N. Furthermore, the strong N 1s peak, located at ~397.8 eV, proved the incorporation of nitrogen. In contrast, the O 1s peak was very small and the Cl 2p peak was not detected. The calculated composition of the film was 48 ± 1 at. % [Si], 50 ± 1 at. % [N], 2 ± 1 at. % [O], and 20% higher GPC at identical process conditions while exhibited an improved or at least comparable film quality (e.g., R.I., WER, and film density). We contribute the significant improvement in GPC to the enhanced reactivity of the proposed precursor molecular structure simply by a hydrogen atom substitution. The minor change in the molecular structure can render a lower steric hindrance, a higher polarity of the precursor molecule, and an additional precursor adsorption reaction pathway via Si−H bonds cleavage. Furthermore, we have shown that the SiNx film deposited at 360 °C using PCDS had a low oxygen content (~2 at. %) and negligible chlorine content (