Investigation of the Physical Properties of Plasma Enhanced Atomic

Nov 28, 2018 - Achieving a low WER of a SiNx film is especially significant in its use as an etch stopper for technology beyond 7 nm node semiconducto...
0 downloads 0 Views 2MB Size
Subscriber access provided by Gothenburg University Library

Surfaces, Interfaces, and Applications

Investigation of the Physical Properties of Plasma Enhanced Atomic Layer Deposited Silicon Nitride as Etch Stopper Harrison Sejoon Kim, Xin Meng, Si Joon Kim, Antonio T. Lucero, Lanxia Cheng, Young-Chul Byun, Joy S. Lee, Su Min Hwang, Aswin Lakshmi Narayanan Kondusamy, Robert M. Wallace, Gary Goodman, Alan S. Wan, Michael Telgenhoff, Byung Keun Hwang, and Jiyoung Kim ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.8b15291 • Publication Date (Web): 28 Nov 2018 Downloaded from http://pubs.acs.org on November 29, 2018

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Investigation of the Physical Properties of Plasma Enhanced Atomic Layer Deposited Silicon Nitride as Etch Stopper Harrison Sejoon Kim, † Xin Meng, ‡, ⊤ Si Joon Kim, | Antonio T. Lucero, † Lanxia Cheng, †, ⊢ Young-Chul Byun, †, ⊥ Joy S. Lee, † Su Min Hwang, † Aswin L. N. Kondusamy, † Robert M. Wallace, † Gary Goodman, ∥ Alan S. Wan, ∥ Michael Telgenhoff, § Byung Keun Hwang § and Jiyoung Kim*, †, ‡ †

Department of Materials Science and Engineering, The University of Texas at Dallas, 800 West

Campbell Road, Richardson, Texas 75080, United States ‡

Department of Electrical Engineering, The University of Texas at Dallas, 800 West Campbell

Road, Richardson, Texas 75080, United States |

Department of Electrical and Electronics Engineering, Kangwon National University, 1

Gangwondaehakgil, Chuncheon-si, Gangwon-do 24341, Republic of Korea ∥

Evans Analytical Group, 104 Windsor Center Drive, East Windsor NJ 08520

§

Dow Chemical, 2200 W. Salzburg Road, Midland, Michigan 48686, United States

ACS Paragon Plus Environment

1

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 38

KEYWORDS: hydrogen bonding state, hydrogen/chlorine content, bulk film density, wet etch rate (WER), silicon nitride, atomic layer deposition (ALD), plasma-enhanced ALD (PEALD), hexachlorodisilane (HCDS)

ACS Paragon Plus Environment

2

Page 3 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

 ABSTRACT

Correlations between physical properties linking film quality with wet etch rate (WER), one of the leading figures of merit, in plasma-enhanced atomic layer deposition (PEALD) grown silicon nitride (SiNx) films remain largely unresearched. Achieving a low WER of a SiNx film is especially significant in its use as an etch stopper for technology beyond 7 nm node semiconductor processing. Herein, we explore the correlation between the hydrogen concentration, hydrogen bonding states, bulk film density, residual impurity concentration, and the WERs of PEALD SiNx using Fourier transform infrared spectrometry, X-ray reflectivity, and spectroscopic ellipsometry, etc. PEALD SiNx films for this study were deposited using hexachlorodisilane and hollow cathode plasma source under a range of process temperatures (270 °C – 360 °C) and plasma gas compositions (N2/NH3 or Ar/NH3) to understand the influence of hydrogen concentration, hydrogen bonding states, bulk film density, and residual impurity concentration on the WER. Varying hydrogen concentration and differences in the hydrogen bonding states resulted in different bulk film densities, and accordingly, a variation in WER. We observe a linear relationship between hydrogen bonding concentration and WER as well as a reciprocal relationship between bulk film density and WER. Analogous to the PECVD SiNx processes, a reduction in hydrogen bonding concentration arises from either (1) thermal activation or (2) plasma excited species. However, unlike the case with silane (SiH4)-based PECVD SiNx, PEALD SiNx WERs are affected by residual impurities of Si precursors (i.e., chlorine impurity). Thus, possible wet etching mechanisms in HF in which the WER is affected by hydrogen bonding states or residual impurities are proposed. The shifts of amine basicity in SiNx due to different hydrogen bonding states and the changes in Si electrophilicity due to Cl impurity content are suggested as the main mechanisms that influence WER in the PEALD processes.

ACS Paragon Plus Environment

3

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 38

 INTRODUCTION: Silicon nitride (SiNx) has garnered attention due to its wide range of applications. Examples of such applications include spacers in dynamic random access memory devices, 1 charge trap layers in 3D-NAND flash devices, 2 gate spacers in FinFETs, 3 and chemical-mechanical polishing (CMP) stoppers in a self-aligned multiple patterning process when forming fins in FinFET devices.

4

Using SiNx in the aforementioned applications requires high bulk film density> 2.9 g/cm3, excellent film conformality (> 95%), and a low process temperature (< 400 °C).

5–9

Since SiNx

serves as both etch stopper and gate spacer in self-aligned multiple patterning for the purpose of narrowing the feature size of fins,

10–12

achieving a low WER is critical to its successful

incorporation into FinFET processing: as an etch stopper, the SiNx pad must be unscathed during subsequent diluted hydrofluoric acid (DHF) cleaning that is done after removing the top mandrel, 4,13

while low process temperature is strongly beneficial to prevent any lateral dopant diffusion

into the Si-fin body from implanted source and drain dopants if it were used as gate spacer. 10,14 Conventional deposition processes like low-pressure chemical vapor deposition (LPCVD), 15–17 plasma-enhanced chemical vapor deposition (PECVD), ALD),

20–22

or plasma-enhanced ALD (PEALD),

5,6,9

18,19

thermal atomic layer deposition (t-

have been widely employed for deposition

of SiNx thin films. Although LPCVD SiNx demonstrates good conformality, it has a high thermal budget (> 700 °C).

23

In contrast, PECVD SiNx satisfies the low thermal budget but frequently

results in both poor wet etching resistance and conformality. 7,18 Considering all the aspects, SiN x grown by PEALD is of particular interest. However, WER investigations thus far have been mainly limited to PECVD SiNx. 24–27 In such studies of the WER of PECVD SiNx, the WER is understood to be primarily affected by the hydrogen bonding concentration in the SiN x film.

25,26,28–30

Additionally, the bulk film density affects the wet chemical resistance property of PECVD SiNx

ACS Paragon Plus Environment

4

Page 5 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

films 25,26 as the Si-N bond density will increase proportionally to the bulk film density of SiN x. 26 Recently, Provine et al.

31

stressed the significance of the bulk film density effect on WER in

PEALD system, while P.-C. Jhang et al.

32

and Ovanesyan et al.

33,34

underlined the hydrogen

concentration effect on WER. However, further studies on WER of PEALD SiN x are necessary to understand the role of either the gas phase reaction or surface reaction that defines the fundamental difference in growth mechanism between PECVD and PEALD techniques, respectively. For example, to better understand the chemistry affecting WER in ALD films, inherent factors such as the chemical bonding states of hydrogen as well as the residual impurities should be addressed. Moreover, studies on the effect of hydrogen concentration on the bulk film densities influencing the WER of PEALD grown SiNx films at process temperatures truly lower than 400 °C should be investigated. In this paper, we employed various PEALD process conditions within a range of process temperatures (270 °C – 360 °C) and different plasma gas environment (either N2/NH3 or Ar/NH3), to evaluate how the physical properties of films affects the WER of PEALD SiN x films. Studying these results, we propose two possible mechanisms for PEALD SiN x film processing to increase either the amine basicity or Si electrophilicity to reveal the underlying principles that result in a higher WER. Moreover, we examine the WER of PEALD SiNx that has been grown using chlorine-containing Si precursors (i.e. Si2Cl6) as they are widely used precursor type for ALD SiNx growth owing to their compatibility with both t-ALD and PEALD. 8,20,35–40

ACS Paragon Plus Environment

5

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 38

 EXPERIMENTAL DETAILS: 100-mm p-type silicon wafers (Silicon Valley Microelectronics, (100) orientation, resistivity 3-10 ohm-cm) are used as substrates for thin film deposition. Wafers are dipped into a 100:1 HF solution for 3 min to remove the native oxide and are rinsed with de-ionized water for 1 min followed by nitrogen blow dry. The SiNx films are deposited using a custom designed PEALD system equipped with a hollow cathode plasma source as depicted in Figure S1a. To test the effect of using different nitrogen sources, a mixture of either nitrogen / ammonia (N2, 99.9999%, Airgas / NH3, 99.999%, Airgas) or argon / ammonia (Ar, 99.9999%, Airgas) is utilized as the nitrogen source. The mixture ratio of gases will be discussed at later section. Depending on the nitrogen source, N2 or Ar is used as the purging/carrier gas, respectively. Hexachlorodisilane (HCDS) evaporated at 20 °C is used as the silicon source. After the substrate is placed on the susceptor, the chamber is pumped with a rotary vane pump to less than 50 mTorr before switching to a turbomolecular pump to achieve chamber pressures below 10-7 Torr. The turbomolecular pump is isolated from the chamber prior to the deposition process. The process pressure is maintained at ~200 mTorr. Surface nitridation is done by pre-treating the hydrogen-terminated silicon surface with NH3 plasma discharge. The process sequence for growing SiN x by PEALD is shown in the flow chart in Figure S1b. This recipe consists of repeated HCDS dosing and plasma discharging steps (with plasma gas compositions of either N2/NH3 or Ar/NH3), followed by N2 or Ar purging steps, depending on what gas mixture was used. An NH3 flow stabilization step is also introduced before the plasma discharging step to maintain a constant NH3 flow rate during the discharge. Spectroscopic ellipsometry (SE, J.A. Woolam, M-2000DI) is used to determine the film thickness and refractive index (R.I.). Growth per cycle (GPC, nm/cycle) is determined by dividing average film thickness by the number of cycles. The average thickness is calculated from 9 different points across the 100

ACS Paragon Plus Environment

6

Page 7 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

mm wafer. The WER is calculated by dividing the difference in thickness – measured before and after etching with DHF (49% HF:H2O = 1:500) – by the etching time. X-ray photoelectron spectroscopy (XPS, PHI Versa Probe II) with a monochromatic quartz crystal X-ray source of Al Kα 1486.6 eV is employed to analyze the SiNx film chemical composition. XPS spectra are acquired after removing ~2 nm of the air-oxidized top layer by 1 min of Ar+ sputtering (1 kV, 1×1 mm2). Quantitative information of the elemental composition is estimated and extracted from the peak area and sensitivity factor from these spectra. 41 Thermo Electron Fourier transform infrared (FT-IR) spectroscopy operating with a Globar IR source and liquid-nitrogen-cooled MCT-A infrared detector is used to perform the vibrational spectroscopic studies. The infrared beam passes through a KBr beam splitter. Spectra are obtained in absorbance mode. For each sample, background spectra are acquired using a silicon piece cleaved from the same wafer on which the deposited silicon nitride film is etched away using DHF (49% HF:H2O = 1:100). The IR data generated from the deposited SiN x films on silicon substrates are collected with a spectral resolution of 4 cm-1 over 100 scans. The background spectra generated from the cleaved piece of silicon are collected with a resolution of 4 cm-1 as well. The spectrometer is purged with N2 prior to the measurement to minimize the presence of trapped water in the IR beamline. The ex-situ Xray reflectivity (XRR) scans are acquired using a Rigaku SmartLab XRD system. The X-ray diffractometer used a 5.0 deg soller slit and 5.0 mm slit for both incident and receiving part. The XRR measurement speed was fixed at 0.01 deg/min. The density, thicknesses, and roughness of the SiNx films on the (100) Si wafers were extracted using the Rigaku GlobalFit

42

data fitting

software with thicknesses matched to the results from prior ellipsometry measurements. Dynamic secondary ion mass spectrometry (DSIMS, PHI ADEPT 1010) with a cesium as primary ion source is utilized to analyze the hydrogen and chlorine concentration in SiNx. Primary ion energy and

ACS Paragon Plus Environment

7

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 38

sputtering angle was 2 keV and 60 degrees from normal, respectively. DSIMS data were calibrated using ion implanted SiN standards whose composition was verified independently by combination of Rutherford backscattering (RBS) and hydrogen forward scattering (HFS). To evaluate the electrical properties of the SiNx films, capacitors were fabricated on a low resistivity silicon wafer (n-type (100), Arsenic-doped, resistivity 0.001-0.005 ohm-cm). DHF (49% HF:H2O = 1:100) cleaning is done to remove ~2 nm of native oxide on a silicon wafer followed by 200 nm of ebeam evaporated aluminum (Al) (Temescal 1800 e-beam evaporator) with a shadow mask on top of the prepared SiNx. Any SiNx deposited onto the backside is then removed by DHF. Electrical measurements are performed on a Cascade probe station using a Keithley 4200 semiconductor parameter analyzer. The backsides of the samples were grounded during the current-voltage (I-V) characterization. The area of the capacitors is measured to be 2.0x10-5 cm2  RESULTS AND DISCUSSION SiNx film growth and composition. The GPC as a function of HCDS exposure is shown in Figure 1a. The SiNx sample shown was deposited at 270 °C, N2/NH3 30/90 sccm. The thickness uniformity across a 100-mm wafer lies within ±5% (Figure S2). A so called “soft” saturation behavior

5,43

(emerging with steadily increasing GPC with precursor exposure) is observed in

Figure 1a between 0.054 nm/cycle and 0.077 nm/cycle. This result demonstrates that the HCDS based PEALD process exhibits a self-limiting surface reaction. The highest Langmuir (L) investigated was 4×105 L (= 0.4 Torr·s) with a GPC of nearly 0.07 nm/cycle, which is comparable to that of previously reported GPC values (GPC of 0.07 nm/cycle vs. ~0.1 nm/cycle at 4×105 L) using HCDS as a Si source in capacitively coupled plasma sources (known as CCP) based PEALD systems. 8 Slight differences in GPC between two different plasma systems is probably due to the different amount of impurity incorporated during film growth. Additionally, note that the HCDS

ACS Paragon Plus Environment

8

Page 9 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

dose is almost 1-5 orders of magnitude lower than doses of Si precursor of SiNx t-ALD processes 37,39,44

due to higher reactivity of the nitrogen source under PEALD processes. Results for the XPS

elemental composition are shown in Figure 1b. Both the Si 2p and N 1s XPS core level spectra show that the binding energy of the Si 2p electrons is ~101.8 eV and that for the N 1s electrons is ~397.8 eV. These binding energies agree well with the SiN x peak positions and each spectrum fits to a single Gaussian without any observable shoulders. The absence of observable shoulders indicates that the SiNx grown in our system is SiNx without any significant incorporation of oxygen. Indeed, the peak area of the O 1s core level spectrum only accounts for approximately 3% of the overall film stoichiometry, lower than previously reported values in similar process temperatures of PEALD systems

5,9

. Use of a hollow cathode plasma source and pumping the reactor to high

vacuum (< 10-7 Torr) prior to processing both help to minimize the presence of H2O and O2 during deposition, allowing deposition of a film with low oxygen content. 45 Additionally, the amount of either chlorine (Cl) or carbon (C) impurities (Figure S3) is below the detection limit of XPS. Figure 1c lists the stoichiometric information for the PEALD SiNx. Investigation of the process temperature effect. Figure 2 shows the process temperature effects on the SiNx film properties. Films were prepared at increasing process temperatures (270 °C, 300 °C, and 360 °C) under constant plasma gas composition (N2/NH3 30/90 sccm). Figure 2a shows the nearly constant GPCs (0.068 ± 0.002 nm/cycles) within the process temperature regime under investigation. The result indicates that the PEALD window lies within the temperature range of 270 °C – 360 °C. When the process temperature reaches 360 °C from 270 °C, the R.I. increases from 1.8 to nearly ~1.9, a tendency that agrees well with other studies

5,6

and is comparable to

reported R.I.s of dense HCDS grown SiN x films (R.I. ~ 2.0). 39 We perform FT-IR to quantitatively and qualitatively determine the effect of the hydrogen in PEALD SiNx. First, quantitative analysis

ACS Paragon Plus Environment

9

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 38

is done by comparing the relatively varying –SiHx and –NHx concentration by using the equation (Eq. 1) and calibration factor first proposed by Lanford and Rand et al. 30 Generally, the hydrogen concentration can be calculated from FT-IR spectra and the extracted hydrogen concentration matches comparably with that from elastic recoil detection analysis (ERDA), if proportionality factors in FT-IR are properly calibrated. 46,47 Moreover, the technique can determine the hydrogen chemical bonding nature, 48–51 allowing FT-IR to reveal the hydrogen bond concentration of -SiHx and -NHx groups independently. The detailed calculation pathway is described elsewhere. 24,26,27,52– 54

For the hydrogen bonding quantitative analysis in different PEALD processes, the –NHx (x =

1,2) stretching vibrational mode at ~3300 cm-1 and the –Si-Hx stretching at ~2200 cm-1 are studied to provide us the most useful information of the PEALD SiNx chemical bonding states in our films. 54

The remainder of the peaks are assigned as shown in Figure 2b and Figure 3b. The vibrational

peaks observed in the PEALD SiNx spectra are assigned according to work done by Tsu, Lucovsky, and Mantini et al.

55

Since the –Si-Hx stretching mode absorption is below the detection limit of

FT-IR, we only considered the vibrational frequency of the –NHx peak to extract and compare the relatively changing hydrogen bonding concentration as a function of process temperature. The absence of a –Si-Hx stretching mode implies that the hydrogen species in the resultant HCDS based PEALD SiNx is likely to bond with N by forming –NHx moieties.

56

The hydrogen bonding

concentration was derived from the integration of the –NHx absorption peak using the (Eq. 1) [XH]=A(X-H) I where [X-H] is the hydrogen bond density of X-H specie, A(X-H) is proportionality constant, and integrated absorption of I. Note that the hydrogen bonding concentration reported in this literature is utilized to make comparative analysis, not to report a definitive value as we did not calibrate the proportionality factors. The normalized FT-IR spectra are plotted to highlight the relative variations in hydrogen bonding concentration as in Figure 2b. A relative reduction in the

ACS Paragon Plus Environment

10

Page 11 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

absorbance of the –NHx stretching mode is observed by increasing the process temperature from 270 °C to 360 °C. The integrated –NHx peak absorbance decreases about ~45 % as the process temperature is increased, which leads to the observed changes of hydrogen concentration. The hydrogen bonding concentration based on FT-IR absorbance corresponds to a reduction from 2.7×1022/cm3 to 0.9×1022/cm3 with increasing temperature (This qualitative trend is supported by DSIMS analysis, resulting in a decrease approximately 10 % - 15 % as shown in Figure S4). The change in hydrogen bonding concentration is attributed to a temperature stimulated hydrogen elimination that is analogous to that seen in PECVD processes.

57

Since a higher temperature

increases hydrogen desorption caused by surface condensation reactions

58

or cross-linking,

57

(from 250 °C to 600 °C) a smaller hydrogen bonding concentration is observed (weaker –NHx vibrational peak). Additionally, close examination of the –NHx vibrational modes reveals qualitative insight into the hydrogen chemical bonding characteristics. For example, for the SiNx film prepared at 270 °C, N2/NH3 30/90 sccm (Figure 2c), deconvolution of the –NHx peak reveals two distinct components at ~3250 cm-1 ~3350 cm-1, respectively. This deconvolution originates from –NH2 species related chemical bonding vibrations (analogous to the primary amine giving two peaks). The higher vibrational wavenumber component is attributed to the asymmetric –NH2 vibration , while the lower one arises from the symmetric mode; the frequency separation agrees well with the νsym = 345.5 + 0.876νasym relation.

59,60

However, at a higher process temperature

(360 °C), only a single Gaussian profile can be fitted to the –NHx peak (Figure 2d). This suggests that the peak can be assigned to the –NH stretching mode, analogous to the secondary amine system that gives only a single peak. To confirm how hydrogen affects the PEALD SiNx film properties, both quantitatively and qualitatively, XRR was used to evaluate the bulk film density. As the process temperature increases from 270 °C to 360 °C, a steady increase of the bulk film

ACS Paragon Plus Environment

11

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 38

density from 2.58 g/cm3 to 2.77 g/cm3 is observed. The densification can be explained as an increase in the number of Si-N bonds, rather than associated with Si-Si bond increment, as the SiNx bulk film density is an indirect estimation of the Si-N bond density. 61 Our previous studies explain unambiguously why the Si-Si bond increment is not responsible for the densification at PEALD SiNx where the chlorosilane was used. 40,56 Furthermore, the absence of Si-Si peak (~ 99 eV) in the Si 2p XPS spectra (Figure 1b) proves that detectable amount of Si-Si bond is, indeed, negligible. An increase in the number of Si-N bonds is attributed to be a result of hydrogen elimination upon film growth at higher temperatures, which is consistent with previous PECVD reports 5,6,26 Plots of the raw XRR spectra and corresponding simulated fitting curves are shown in Figure S5. The R-factor (i.e. residual factor or reliability factor) of the fittings are all below ~0.025, indicating that there is no meaningful difference between the measured raw data and simulated fittings. Indeed, the thickness and roughness values obtained from the XRR simulation profile demonstrate less than 4% deviation from the thickness and roughness values measured by SE and atomic force microscopy (AFM) (Figure S6). As in the case with PECVD SiNx films, an increase in Si-N bonding density in the film is expected to correlate with a slower wet etch rate. 62 Figure 2f shows the evaluated WER of each PEALD SiNx film grown at increasing process temperatures at a constant N2/NH3 30/90 sccm. As predicted, the WER decreases from 10 nm/min to 2.9 nm/min as the process temperature increases from 270 °C to 360 °C. Thus, we can rationalize that the breaking of the Si-N network is a determining factor in the etch rate of SiN x film in HF. These observations are clear evidence that the hydrogen bonding concentration as well as the chemical bonding states of hydrogen determine the WER under HF, a point to be discussed further in a later section.

ACS Paragon Plus Environment

12

Page 13 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Investigation of plasma gas composition effect. Since reports demonstrating any plasma gas composition effect in PEALD SiNx are few,

6,63

films were prepared at various plasma gas

compositions (from Ar/NH3 30/90 sccm to 90/30 sccm vs. from N2/NH3 30/90 sccm to 90/30 sccm) under constant process temperature (300 °C) to systematically study this effect (Figure 3). As illustrated in Figure 3a, the GPCs of the Ar:NH3 as well as N2:NH3 films decrease (from 0.063 nm/cycles to 0.051 nm/cycles for Ar/NH3 and from 0.07 nm/cycles to 0.057 nm/cycles for N2/NH3, with decreasing NH3 ratio). For Ar/NH3 processes, the R.I.s of the films increased from 1.80 up to 1.86 as Ar flow rate ratio increases, and for N2/NH3 processes, a less significant change in R.I.s is observed as N2 flow rate ratio increases (R.I.s from 1.84 to 1.86). From FT-IR analysis, a decreased –NHx integrated absorption of approximately 50% is observed as the Ar:NH3 flow rate ratio increases, over the full tested range of concentrations. A similar trend is observed for increasing N2:NH3 flow rate ratios where approximately 30% of –NHx integrated absorption is decreased (Figure 3b). This reduction can be attributed to the reduction of the hydrogen bonding concentration due to the plasma species created during discharging. The mechanism through which hydrogen is removed is as follows: plasma species created through plasma discharge remove surface hydrogen to form a complete nitrogen terminated layer by leaving behind some nitrogen dangling bonds or by generating a new Si-N bonding with neighboring atoms.

18

Based on our

observations in the FT-IR study, hydrogen removal is clearly favored in higher Ar and N2 partial pressure regimes (Figure 3c). Conversely, when the partial pressure of NH3 is higher (Figure 3d), a complete nitrogen terminated surface cannot be formed as the surface adsorption species is dominated by –H and –NHx species.

37,63

Passivation of undercoordinated reactive surface sites

(e.g. nitrogen or silicon dangling bonds) with –H, and/or –NHx species results in the formation of more surface hydrogen species. Therefore, a relatively strong –NHx absorption peak is observed

ACS Paragon Plus Environment

13

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 38

for the Ar/NH3 30/90 sccm process (i.e., lower Ar:NH3 ratio) as well as for the N2/NH3 30/90 sccm process. It is worthwhile to note that the PEALD SiNx films that are deposited with Ar/NH3 plasma ions tend to show only single Gaussian fitted to –NHx vibrational modes, indicating that the films are mainly composed of primarily –NH, unlike the case where N2/NH3 based PEALD processes incorporates more contribution from –NH2 vibration (Figure 3c and 3d). Thus, if we compare the effect of plasma species created during Ar/NH3 and N2/NH3 discharging, we observe that the plasma species created during Ar/NH3 discharging are more effective in removing surface hydrogen species during growth than plasma species created during N2/NH3 discharging, as the plasma properties (such as electron density, ion density, and total collisional energy required per electron–ion pair) of Ar+ are better suited to the task. 64–66 However, further studies are required to identify the exact plasma species created by hollow cathode plasma discharging. Additionally, based on FT-IR absorbance, a film deposited at Ar/NH3 90/30 sccm contains ~ 20% less hydrogen bonding concentration than that of a film deposited at N2/NH3 90/30 sccm at the same process temperature of 300 °C. Likewise, a film deposited at Ar/NH3 30/90 sccm has ~ 25% smaller hydrogen bonding concentration than that of the film deposited at N 2/NH3 30/90 sccm under the same process temperature (300 °C). Figure 3e shows that films grown at 300 °C using Ar or N2 rich plasma (Ar/NH3 or N2/NH3 90/30 sccm) have a higher bulk film density (2.88 g/cm3 for Ar/NH3 and 2.83 g/cm3 for N2/NH3) than their NH3 rich counterparts (2.66 g/cm3 for Ar/NH3 and 2.7 g/cm3 for N2/NH3). The plasma species that are associated with surface collisions are responsible for this increase in Si-N bond density. 18 As the plasma gas composition becomes Ar or N2 rich (from Ar/NH3 or N2/NH3 30/90 sccm to Ar/NH3 or N2/NH3 90/30 sccm), more surface hydrogen is removed, strengthening the Si-N bonding network. Accordingly, the bulk film density of the SiNx films, as determined by XRR, increases. The densification results in a direct reduction

ACS Paragon Plus Environment

14

Page 15 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

of WER as shown in Figure 3f, for both cases. As the plasma gas composition becomes Ar or N2 rich, the WER decreases (from 4.9 nm/min to 1.2 nm/min for Ar/NH3 and from 6 nm/min to 3.2 nm/min for N2/NH3). H bonding concentration, bulk film density, and WER correlations. The overall correlation between the hydrogen bonding concentration, bulk film density, and WERs are shown in Figure 4. Hydrogen bonding concentrations in PEALD SiN x films were represented as thickness normalized –NHx absorbance in FT-IR spectra. The red solid line is the linear least-squares fit to the thickness normalized –NHx absorbance as a function of the WER. The green solid line is a reciprocal function fit for the bulk film density as a function of the WER. We found clear correlation: lower hydrogen bonding concentration and higher bulk film density result in a slower WER. Proposed SiNx etching mechanism. Knotter suggests that the hydrogen content and top surface SiNx:H hydrogenation impacts the WER of the SiNx films grown by LPCVD and PECVD in HF solutions. 62 Based on our previous discussion on WER, it is reasonable to conclude that the bulk film density and WER in HF solution of PEALD SiN x films are affected by not only the hydrogen bonding concentration but also the chemical bonding states of the SiNx species (including chemical bonding states of hydrogen). To further support this claim, we propose the following etching reaction mechanism in which the inductive effect on the surface termination groups determines the rate of the nucleophilic substitution (specifically, SN2) reaction.

62

Since the current deposition

process contains an unavoidable Cl impurity due to its low growth temperature (< 400 °C), two possible mechanisms can exist originating from the different Si-N chemical bonding environment and the electronegativity of Cl impurities: changes in both amine basicity and Si electrophilicity, respectively. As shown in Figure 5a, amine basicity increases as the amine shifts from a tertiary amine (–N-Si2) to a primary amine (–NH2) due to the increase in an electron donating inductive

ACS Paragon Plus Environment

15

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 38

effect (+I effect) that increases the electron density on the lone pair of –NH2. As a result, –NH2 protonates more easily than either =N-Si or –N-Si2. Protonation is critical in determining the WER, as the full protonation of the –NHx species facilitates the SN2 reaction of F- to N, leading to the formation of a volatile SiF4 species. This mechanism is supported by our FT-IR experimental results: films grown at relatively low temperature contain mainly –NH2, resulting in a higher WER. For the second mechanism, Figure 5b shows how the electrophilicity of Si can affect the S N2 reaction rate. Since –NH2 has an +I effect and –Cl has an -I effect (electron withdrawing inductive effect), the Si is encouraged to donate more electrons to the Cl than to the –NH2, which facilitates the SN2 reaction of F- to Cl, again, forming volatile SiF4. Therefore, it is straightforward to assume that, compounded with the quantitative and qualitative effect of hydrogen bonding, a high concentration of incorporated Cl in the SiNx film tends to make the SN2 reaction faster and would demonstrate a correspondingly high WER. We find further evidence for this mechanism from DSIMS analysis (Figure S7). From our DSIMS observations, a SiNx film that has WER of 10 nm/min (deposited at 270 °C) contains roughly one order of magnitude greater concentration of Cl atoms/cm3 than does a film that has WER of 2.9 nm/min (deposited at 360 °C) (Cl bonding concentration: 1.5×1019 vs. 4.6×1018). Therefore, based on the proposed wet etching mechanisms and our experimental analysis, we suggest that, within the PEALD processes of SiNx, chemical bonding states of species and chlorine bonding concentration in SiNx are also mainly responsible for determining the WER. Electrical properties. Lastly, I-V characteristics of Al / SiNx / degenerated Si (resistivity of 0.001~0.005 ohm-cm) metal-insulator-metal (MIM) structure are shown in Figure 6 for the demonstration of SiNx as a dielectric. The SiNx film was grown at a process temperature of 270 °C under a plasma gas of N2/NH3 and Ar/NH3. The process temperature of 270 °C is specifically

ACS Paragon Plus Environment

16

Page 17 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

chosen to test the feasibility of the dielectric capability of SiNx at the lowest possible process temperature. The breakdown-field strength of the N2/NH3 based PEALD SiNx film is more than 12 MV/cm, and the leakage current density is 2 nA/cm2 at an electric field of 3 MV/cm. The breakdown-field strength is higher than values for other SiNx films. 67–69 However, as opposed to wet chemical resistance properties, the dielectric performance of the N 2/NH3 based PEALD SiNx film is stronger than that of the Ar/NH3 based PEALD SiNx film. The breakdown-field strength of the Ar/NH3 based PEALD SiNx film is about 10 MV/cm, and the leakage current density is an order of magnitude higher than that of the N2/NH3 based PEALD SiNx film (20 nA/cm2 at an electric field of 3 MV/cm). Consistent with the FT-IR discussion made in the previous section, the better dielectric capability seen in N2/NH3 based PEALD SiNx film is likely attributed to the hydrogen passivation of Si dangling bonds which is generally known to act as electron hopping sites.

70

Namely, a certain amount of hydrogen in the film is desirable for improving its

performance as a dielectric.  CONCLUSIONS In summary, we have systematically studied the effect of processing conditions of PEALD SiNx films deposited with HCDS and N2/NH3 or Ar/NH3 plasma gases on the film’s physical properties and WER. The quality of the SiN x films in terms of the GPC, hydrogen concentration/bonding states, bulk film densities, residual impurity concentration (Cl in this study) and WERs were investigated. We have found straightforward correlations: a lower WER film exhibits lower hydrogen bonding concentration and higher bulk film density. A reduction in hydrogen bonding concentration makes the film denser and consequently reduces the WER. We found that the reduction in hydrogen bonding concentration arises from either (1) thermal activation or (2) plasma excited species. More importantly for the PEALD system, we discussed two possible etching

ACS Paragon Plus Environment

17

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 38

mechanisms in HF: firstly, that hydrogen bonding state impacts the amine basicity in SiNx, and secondly, that Cl impurity concentration influences the Si electrophilicity. Each of these effects can work simultaneously or individually to reduce WER. Data supporting these mechanisms is based on FT-IR and DSIMS experimental results. We believe that the results presented in this study will aid others to engineer lower WER films and design PEALD SiNx film properties as desired.

ACS Paragon Plus Environment

18

Page 19 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

 ASSOCIATED CONTENT Supporting Information. The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsami.xxxxxxx. As presented in Figure S1-S7 (PDF), schematic diagrams of the PEALD system and the process sequence of this work, wafer mapping of thickness of the PEALD SiNx in this work, additional C1s XPS spectra of Figure 1b, DSIMS hydrogen depth profile for the discussion of hydrogen quantification determined by FT-IR, XRR and simulated profile plot of PEALD SiNx used in film density extraction for both Figure 2e and Figure 3e, representative thickness (determined by SE) and roughness (determined by AFM) information for XRR simulation profile validation, and DSIMS chlorine depth profile for the Figure 5 discussion can be found.  AUTHOR INFORMATION Corresponding Author * E-mail: [email protected]. Present Addresses ⊤

Lam Research Corporation, 11155 SW Leveton Drive, Tualatin, Oregon 97062, United States.



Intermolecular, 3011 North First St. San Jose, CA, 95134.



ASM America Inc, 3440 East University Drive, Phoenix, Arizona 85034, United States.

Author Contributions All authors have given approval to the final version of the manuscript.

ACS Paragon Plus Environment

19

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 38

Notes The authors declare no competing financial interest.  ACKNOWLEDGMENT The authors thank Dow Corning Corporation (The Dow Chemical Company) for the financial support of this work and for providing the HCDS and technical contribution. This work was partly supported by the Creative Materials Discovery Program on Creative Multilevel Research Center (2015M3D1A1068061) through the National Research Foundation (NRF) of Korea funded by the Ministry of Science, ICT & Future Planning.

ACS Paragon Plus Environment

20

Page 21 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

 REFERENCES (1)

Lin, J. T.; Lin, P. H.; Haga, S. W.; Wang, Y. C.; Lu, D. R. Transient and Thermal Analysis on Disturbance Immunity for 4F2 Surrounding Gate 1T-DRAM with Wide Trenched Body. IEEE Trans. Electron Devices 2015, 62 (1), 61–68.

(2)

Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; Katsumata, R.; Kito, M.; Fukuzumi, Y.; Sato, M.; Nagata, Y.; Matsuoka, Y.; Iwata, Y.; Aochi, H.; Nitayama, A. Bit Cost Scalable Technology with and Plug Process for Ultra High Density Flash Memory. Dig. Tech. Pap. - Symp. VLSI Technol. 2007, 14–15.

(3)

Kaneko, A.; Yagishita, A.; Yahashi, K.; Kubota, T.; Omura, M.; Matsuo, K.; Mizushima, I.; Okano, K.; Kawasaki, H.; Inaba, S.; Izumida, T.; Kanemura, T.; Aoki, N.; Ishimaru, K.; Ishiuchi, H.; Suguro, K.; Eguchi, K.; Tsunashima, Y. Sidewall Transfer Process and Selective Gate Sidewall Spacer Formation Technology for Sub-15nm Finfet with Elevated Source/Drain Extension. IEEE Int. Devices Meet. 2005. IEDM Tech. Dig. 2005, No. d.

(4)

Kim, H.; Sun, M. C.; Hwang, S.; Kim, H. M.; Lee, J. H.; Park, B. G. Fabrication of Asymmetric Independent Dual-Gate FinFET Using Sidewall Spacer Patterning and CMP Processes. Microelectron. Eng. 2018, 185–186, 29–34.

(5)

Knoops, H. C. M.; Braeken, E. M. J.; de Peuter, K.; Potts, S. E.; Haukka, S.; Pore, V.; Kessels, W. M. M. Atomic Layer Deposition of Silicon Nitride from Bis( Tert Butylamino)Silane and N 2 Plasma. ACS Appl. Mater. Interfaces 2015, 7 (35), 19857– 19862.

ACS Paragon Plus Environment

21

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(6)

Page 22 of 38

Faraz, T.; van Drunen, M.; Knoops, H. C. M.; Mallikarjunan, A.; Buchanan, I.; Hausmann, D. M.; Henri, J.; Kessels, W. M. M. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di( Sec -Butylamino)Silane and N 2 Plasma on Planar and 3D Substrate Topographies. ACS Appl. Mater. Interfaces 2017, 9 (2), 1858–1869.

(7)

Triyoso, D. H.; Hempel, K.; Ohsiek, S.; Jaschke, V.; Shu, J.; Mutas, S.; Dittmar, K.; Schaeffer, J.; Utess, D.; Lenski, M. Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration. ECS J. Solid State Sci. Technol. 2013, 2 (11), N222– N227.

(8)

Ovanesyan, R. A.; Hausmann, D. M.; Agarwal, S. Low-Temperature Conformal Atomic Layer Deposition of SiN

x

Films Using Si 2 Cl 6 and NH 3 Plasma. ACS Appl. Mater.

Interfaces 2015, 7 (20), 10806–10813. (9)

Park, J.-M.; Jang, S. J.; Yusup, L. L.; Lee, W.-J.; Lee, S.-I. Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor. ACS Appl. Mater. Interfaces 2016, 8 (32), 20865–20871.

(10) Yang, J.-H.; Park, J.-E.; Lee, J.-W.; Chu, K.-S.; Ku, J.-H.; Park, M.-H.; Lee, N.-I.; Kang, H.-S.; Oh, M.-H.; Lee, J.-H.; Kang, H.-K.; Suh, K.-P. Ultimat Solution for Low Thermal Budget Gate Spacer and Etch Stopper to Retard Short Channel Effect in Sub-90nm Devices. In Symposium on VLSI Technology Digest of Technical Papers; 2003; pp 55–56. (11) Kaneko, A.; Yagishita, A.; Yahashi, K.; Kubota, T.; Omura, M.; Matsuo, K.; Mizushima, I.; Okano, K.; Kawasaki, H.; Inaba, S.; Izumida, T.; Kanemura, T.; Aoki, N.; Ishimaru, K.; Ishiuchi, H.; Suguro, K.; Eguchi, K.; Tsunashima, Y. Sidewall Transfer Process and

ACS Paragon Plus Environment

22

Page 23 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Selective Gate Sidewall Spacer Formation Technology for Sub-15nm Finfet with Elevated Source/Drain Extension. In IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest.; 2005; pp 844–847. (12) Raley, A.; Thibaut, S.; Mohanty, N.; Subhadeep, K.; Nakamura, S.; Ko, A.; O’Meara, D.; Tapily, K.; Consiglio, S.; Biolsi, P. Self-Aligned Quadruple Patterning Integration Using Spacer on Spacer Pitch Splitting at the Resist Level for Sub-32nm Pitch Applications. In Proc. SPIE; 2016; Vol. 9782, p 97820F. (13) Chen, Y.; Xu, P.; Miao, L.; Chen, Y.; Xu, X.; Mao, D.; Blanco, P.; Bencher, C.; Hung, R.; Ngai, C. S. Self-Aligned Triple Patterning for Continuous IC Scaling to Half-Pitch 15nm. In Proc. SPIE; 2011; p 79731P1-8. (14) Hisamoto, D.; Lee, W.-C.; Kedzierski, J.; Takeuchi, H.; Asano, K.; Kuo, C.; Anderson, E.; King, T.-J.; Bokor, J.; Hu, C. FinFET—A Self-Aligned Double-Gate MOSFET Scalable to 20nm. IEEE Trans. Electron Devices 2000, 47 (12), 2320–2325. (15) Roenigk, K. F.; Jensen, K. F. Low Pressure CVD of Silicon Nitride. J. Electrochem. Soc. 1987, 134 (7), 1777–1785. (16) Arnoldbik, W. M.; Maree, C. H. M.; Maas, A. J. H.; Van Den Boogaard, M. J.; Habraken, F. H. P. M.; Kuiper, A. E. T. Dynamic Behavior of Hydrogen in Silicon Nitride and Oxynitride Films Made by Low-Pressure Chemical Vapor Deposition. Phys. Rev. B 1993, 48 (8), 5444–5456. (17) Chow, R.; Lanford, W. A.; Ke-Ming, W.; Rosler, R. S. Hydrogen Content of a Variety of Plasma-Deposited Silicon Nitrides. J. Appl. Phys. 1982, 53 (8), 5630–5633.

ACS Paragon Plus Environment

23

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 38

(18) King, S. W. Plasma Enhanced Atomic Layer Deposition of SiN x  :H and SiO 2. J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2011, 29 (4), 041501. (19) Huang, W.; Wang, X.; Sheng, M.; Xu, L.; Stubhan, F.; Luo, L.; Feng, T.; Wang, X.; Zhang, F.; Zou, S. Low Temperature PECVD SiNx Films Applied in OLED Packaging. Mater. Sci. Eng. B Solid-State Mater. Adv. Technol. 2003, 98 (3), 248–254. (20) Nakajima, A.; Yoshimoto, T.; Kidera, T.; Yokoyama, S. Low-Temperature Formation of Silicon Nitride Gate Dielectrics by Atomic-Layer Deposition. Appl. Phys. Lett. 2001, 79 (5), 665–667. (21) Lee, W.-J.; Kim, U.-J.; Han, C.-H.; Chun, M.-H.; Rha, S.-K.; Lee, Y.-S. Characteristics of Silicon Nitride Thin Films Prepared by Using Alternating Exposures of SiH 2Cl 2 and NH 3. J. Korean Phys. Soc. 2005, 47 (SUPPL. 3), 598–602. (22) Yusup, L. L.; Park, J.-M.; Noh, Y.-H.; Kim, S.-J.; Lee, W.-J.; Park, S.; Kwon, Y.-K. Reactivity of Different Surface Sites with Silicon Chlorides during Atomic Layer Deposition of Silicon Nitride. RSC Adv. 2016, 6 (72), 68515–68524. (23) Koehler, F.; Triyoso, D. H.; Hussain, I.; Mutas, S.; Bernhardt, H. Atomic Layer Deposition of SiN for Spacer Applications in High-End Logic Devices. IOP Conf. Ser. Mater. Sci. Eng. 2012, 41 (100), 012006. (24) Karouta, F.; Krämer, M.; Kwaspen, J. J.; Grzegorczyk, A.; Hageman, P.; Hoex, B.; Kessels, W. M.; Klootwijk, J.; Timmering, E.; Smit, M. Influence of the Structural and Compositional Properties of PECVD Silicon Nitride as a Passivation Layer for AlGaN HEMTs. ECS Trans. 2008, 16 (7), 181–191.

ACS Paragon Plus Environment

24

Page 25 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(25) Parsons, G. N.; Souk, J. H.; Batey, J. Low Hydrogen Content Stoichiometric Silicon Nitride Films Deposited by Plasma-Enhanced Chemical Vapor Deposition. J. Appl. Phys. 1991, 70 (3), 1553–1560. (26) Claassen, W. a P.; Valkenburg, W. G. J. N.; Wijgert, W. M.; Willemsen, M. F. C. On The Relation Between Deposition Conditions and (Mechanical) Stress in Plasma Silicon Nitride Layers*. Thin Solid Films 1985, 129, 239–247. (27) W. A. P. Claassen; Valkenburg, W. G. J. N.; Habraken, F. H. P. M.; Tamminga, Y. Characterization of Plasma Silicon Nitride Layers. J. Electrochem. Soc. 1983, 130 (12), 2419–2423. (28) Chow, R.; Lanford, W. A.; Ke-Ming, W.; Rosier, R. S. Hydrogen Content of a Variety of Plasma-Deposited Silicon Nitrides. J. Appl. Phys. 1982, 53 (8), 5630–5633. (29) Hattangady, S. V.; Fountain, G. G.; Rudder, R. A.; Markunas, R. J. Low Hydrogen Content Silicon Nitride Deposited at Low Temperature by Novel Remote Plasma Technique. J. Vac. Sci. Technol. A 1989, 7 (3), 570. (30) Lanford, W. A.; Rand, M. J. The Hydrogen Content of Plasma‐deposited Silicon Nitride. J. Appl. Phys. 1978, 49 (4), 2473–2477. (31) Provine, J.; Schindler, P.; Kim, Y.; Walch, S. P.; Kim, H. J.; Kim, K. H.; Prinz, F. B. Correlation of Film Density and Wet Etch Rate in Hydrofluoric Acid of Plasma Enhanced Atomic Layer Deposited Silicon Nitride. AIP Adv. 2016, 6 (6).

ACS Paragon Plus Environment

25

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 38

(32) Jhang, P.-C.; Lu, C.-P.; Shieh, J.-Y.; Yang, L.-W.; Yang, T.; Chen, K.-C.; Lu, C.-Y. Properties of N-Rich Silicon Nitride Film Deposited by Plasma-Enhanced Atomic Layer Deposition. Solid. State. Electron. 2017, 133, 10–16. (33) Ovanesyan, R. A.; Leick, N.; Kelchner, K. M.; Hausmann, D. M.; Agarwal, S. Atomic Layer Deposition of SiCxNy Using Si2Cl6 and CH3NH2 Plasma. Chem. Mater. 2017, 29 (15), 6269–6278. (34) Ovanesyan, R. A.; Hausmann, D. M.; Agarwal, S. A Three-Step Atomic Layer Deposition Process for SiNx Using Si2Cl6, CH3NH2, and N2 Plasma. ACS Appl. Mater. Interfaces 2018, 10 (22), 19153–19161. (35) Morishita, S.; Sugahara, S.; Matsumura, M. Atomic-Layer Chemical-Vapor-Deposition of Silicon-Nitride. Appl. Surf. Sci. 1997, 112, 198–204. (36) Yokoyama, S.; Ikeda, N.; Kajikawa, K.; Nakashima, Y. Atomic-Layer Selective Deposition of Silicon Nitride on Hydrogen-Terminated Si Surfaces. Appl. Surf. Sci. 1998, 130–132, 352–356. (37) Klaus, J. .; Ott, a. .; Dillon, a. .; George, S. . Atomic Layer Controlled Growth of Si3N4 Films Using Sequential Surface Reactions. Surf. Sci. 1998, 418 (1), L14–L19. (38) Riedel, S.; Sundqvist, J.; Gumprecht, T. Low Temperature Deposition of Silicon Nitride Using Si3Cl8. Thin Solid Films 2015, 577, 114–118.

ACS Paragon Plus Environment

26

Page 27 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(39) Park, K.; Yun, W. D.; Choi, B. J.; Kim, H. Do; Lee, W. J.; Rha, S. K.; Park, C. O. Growth Studies and Characterization of Silicon Nitride Thin Films Deposited by Alternating Exposures to Si2Cl6 and NH3. Thin Solid Films 2009, 517 (14), 3975–3978. (40) Meng, X.; Byun, Y.-C.; Kim, H.; Lee, J.; Lucero, A.; Cheng, L.; Kim, J. Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks. Materials (Basel). 2016, 9 (12), 1007. (41) Moulder, J. F.; Chastain, J. Handbook of X-Ray Photoelectron Spectroscopy: A Reference Book of Standard Spectra for Identification and Interpretation of XPS Data; Physical Electronics Division, Perkin-Elmer Corporation, 1992. (42) Rigaku. RIGAKU GlobalFit - Integrated Thin Film Analysis Software. Rigaku J. 2010, 26 (1), 28–29. (43) Langereis, E.; Heil, S. B. S.; Knoops, H. C. M.; Keuning, W.; van de Sanden, M. C. M.; Kessels, W. M. M. In Situ Spectroscopic Ellipsometry as a Versatile Tool for Studying Atomic Layer Deposition. J. Phys. D. Appl. Phys. 2009, 42 (7), 073001. (44) Murray, C. A.; Elliott, S. D.; Hausmann, D.; Henri, J.; LaVoie, A. Effect of Reaction Mechanism on Precursor Exposure Time in Atomic Layer Deposition of Silicon Oxide and Silicon Nitride. ACS Appl. Mater. Interfaces 2014, 6 (13), 10534–10541. (45) Ozgit-Akgun, C.; Goldenberg, E.; Okyay, A. K.; Biyikli, N. Hollow Cathode PlasmaAssisted Atomic Layer Deposition of Crystalline AlN, GaN and Al x Ga 1−x N Thin Films at Low Temperatures. J. Mater. Chem. C 2014, 2 (12), 2123–2136.

ACS Paragon Plus Environment

27

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 28 of 38

(46) Verlaan, V.; Van Der Werf, C. H. M.; Arnoldbik, W. M.; Goldbach, H. D.; Schropp, R. E. I. Unambiguous Determination of Fourier-Transform Infrared Spectroscopy Proportionality Factors: The Case of Silicon Nitride. Phys. Rev. B - Condens. Matter Mater. Phys. 2006, 73 (19), 1–8. (47) Hughey, M. P.; Cook, R. F. Stress Development Kinetics in Plasma-Enhanced ChemicalVapor-Deposited Silicon Nitride Films. J. Appl. Phys. 2005, 97 (11). (48) Hasegawa, S.; Anbutsu, H.; Kurata, Y. Connection between Si-N and Si-H Vibrational Properties in Amorphous SiNx: H Films. Philos. Mag. B Phys. Condens. Matter; Stat. Mech. Electron. Opt. Magn. Prop. 1989, 59 (3), 365–375. (49) Bustarret, E.; Bensouda, M.; Habrard, M. C.; Bruyère, J. C.; Poulin, S.; Gujrathi, S. C. Configurational Statistics in A-SixNyHz Alloys: A Quantitative Bonding Analysis. Phys. Rev. B 1988, 38 (12), 8171–8184. (50) Lucovsky, G.; Yang, J.; Chao, S.; Tyler, J.; Czubatyj, W. Nitrogen-Bonding Environments in Glow-Discharge—deposited a-Si:H Films. Phys. Rev. B 1983, 28 (6), 3234–3240. (51) Hanyaloglu, B. F.; Aydil, E. S. Low Temperature Plasma Deposition of Silicon Nitride from Silane and Nitrogen Plasmas. J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 1998, 16 (5), 2794–2803. (52) Jonak-Auer, I.; Meisels, R.; Kuchar, F. Determination of the Hydrogen Concentration of Silicon Nitride Layers by Fourier Transform Infrared Spectroscopy. Infrared Phys. Technol. 1997, 38 (4), 223–226.

ACS Paragon Plus Environment

28

Page 29 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(53) Mao, S. C.; Tao, S. H.; Xu, Y. L.; Sun, X. W.; Yu, M. B.; Lo, G. Q.; Kwong, D. L. Low Propagation Loss SiN Optical Waveguide Preopared by Optimal Low-Hydrogen Module. Opt. Express 2008, 16 (25), 20809–20816. (54) Morimoto, A.; Tsujimura, Y.; Kumeda, M.; Shimizu, T. Properties of Hydrogenated Amorphous Si-N Prepared by Various Methods. Jpn. J. Appl. Phys. 1985, 24(11), 1394– 1398. (55) Tsu, D. V.; Lucovsky, G.; Mantini, M. J. Local Atomic Structure in Thin Films of Silicon Nitride and Silicon Diimide Produced by Remote Plasma-Enhanced Chemical-Vapor Deposition. Phys. Rev. B 1986, 33 (10), 7069–7076. (56) Meng, X.; Kim, H. S.; Lucero, A. T.; Hwang, S. M.; Lee, J. S.; Byun, Y.; Kim, J.; Hwang, B. K.; Zhou, X.; Young, J. Hollow Cathode Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using Pentachlorodisilane. ACS Appl. Mater. Interfaces 2018, 10 (16), 14116–14123. (57) Claassen, W. a P.; Valkenburg, W. G. J. N.; Willemsen, M. F. C.; Wijgert, W. M. Influence of Deposition Temperature, Gas Pressure, Gas Phase Composition, and RF Frequency on Composition and Mechanical Stress of Plasma Silicon Nitride Layers. J. Electrochem. Soc. 1985, 132 (4), 893–898. (58) Smith, D. L.; Alimonda, A. S.; Chen, C.-C.; Ready, S. E.; Wacker, B. Mechanism of SiNxHy Deposition from NH3–SiH4 Plasma. J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. 1990, 137 (2), 614–623.

ACS Paragon Plus Environment

29

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 30 of 38

(59) Maeda, M.; Nakamura, H. Hydrogen Bonding Configurations in Silicon Nitride Films Prepared by Plasma-Enhanced Deposition. J. Appl. Phys. 1985, 58 (1), 484–489. (60) Establishment, D.; Abbey, W. The NH Stretching Frequencies of Primary Amines ResuIts. 1957, 9 (4), 341–345. (61) Rocheleau, R. E.; Zhang, Z. Densification of Plasma Deposited Silicon Nitride Films by Hydrogen Dilution. Thin Solid Films 1992, 220 (1–2), 73–79. (62) Martin Knotter, D.; (Dee) Denteneer, T. J. J. Etching Mechanism of Silicon Nitride in HFBased Solutions. J. Electrochem. Soc. 2001, 148 (3), F43. (63) Ande, C. K.; Knoops, H. C. M.; de Peuter, K.; van Drunen, M.; Elliott, S. D.; Kessels, W. M. M. Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride. J. Phys. Chem. Lett. 2015, 6 (18), 3610–3614. (64) Reyes, P. G.; Torres, C.; Martínez, H. Electron Temperature and Ion Density Measurements in a Glow Discharge of an Ar–N 2 Mixture. Radiat. Eff. Defects Solids 2014, 169 (4), 285–292. (65) Younus, M.; Rehman, N. U. Spectroscopic and Langmuir Probe Measurements of Ar-N2 Mixture Plasma in Magnetic Pole Enhanced ICP Source. Optik (Stuttg). 2017, 130, 877– 886. (66) Hopwood, J.; Iza, F. Ultrahigh Frequency Microplasmas from 1 Pascal to 1 Atmosphere. J. Anal. At. Spectrom. 2004, 19 (9), 1145–1150.

ACS Paragon Plus Environment

30

Page 31 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(67) Yota, J.; Shen, H.; Ramanathan, R. Characterization of Atomic Layer Deposition HfO 2 , Al 2 O 3 , and Plasma-Enhanced Chemical Vapor Deposition Si 3 N 4 as Metal–insulator– metal Capacitor Dielectric for GaAs HBT Technology. J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2013, 31 (1), 01A134. (68) Hua, M.; Liu, C.; Yang, S.; Liu, S.; Fu, K.; Dong, Z.; Cai, Y.; Zhang, B.; Chen, K. J. Characterization of Leakage and Reliability of SiNx Gate Dielectric by Low-Pressure Chemical Vapor Deposition for GaN-Based MIS-HEMTs. IEEE Trans. Electron Devices 2015, 62 (10), 3215–3222. (69) Jang, W.; Jeon, H.; Kang, C.; Song, H.; Park, J.; Kim, H.; Seo, H.; Leskela, M.; Jeon, H. Temperature Dependence of Silicon Nitride Deposited by Remote Plasma Atomic Layer Deposition. Phys. Status Solidi 2014, 211 (9), 2166–2171. (70) Coffa, S.; Poate, J. M. Hydrogen Induced Detrapping of Transition Metals in Amorphous Silicon. Appl. Phys. Lett. 1991, 59 (18), 2296–2298.

ACS Paragon Plus Environment

31

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 32 of 38

TABLE OF CONTENTS (TOC) Graphic

ACS Paragon Plus Environment

32

Page 33 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 1. (a) Growth rate of the SiN x at 270 °C, N2/NH3 30/90 sccm as a function of HCDS exposure. (b) XPS spectra acquired after ~2 nm of Ar + sputtering. The spectra are taken from 360 °C, N2/NH3 30/90 sccm sample. (c) Elemental composition calculated from XPS spectra. a

Values below the XPS detection limit.

ACS Paragon Plus Environment

33

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 34 of 38

Figure 2. Process temperature effects on silicon nitride film properties. Properties include (a) GPC and R.I., (b) FT-IR, (c) deconvoluted –NHx vibrational peak for 270 °C, and (d) 360 °C, (e) SiNx bulk film densities, and (f) WERs of SiN x.

ACS Paragon Plus Environment

34

Page 35 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 3. Plasma gas composition effects on silicon nitride film properties. Properties include (a) GPC and R.I., (b) FT-IR, (c) deconvoluted –NHx vibrational peak for Ar or N2/NH3 90/30 sccm, and (d) 30/90 sccm, (e) SiN x bulk film densities, and (f) WERs of SiN x.

ACS Paragon Plus Environment

35

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 36 of 38

Figure 4. The correlation between WER, thickness normalized –NHx absorbance, and bulk film densities of PEALD SiN x.

ACS Paragon Plus Environment

36

Page 37 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 5. The two proposed mechanisms that can affect the WER in HCDS based PEALD grown SiNx; degree of (a) amine basicity, and (b) electrophilicity of Si.

ACS Paragon Plus Environment

37

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 38 of 38

Figure 6. Demonstration of the breakdown-field strength and leakage current density of MIM capacitors using SiNx as insulator. Both SiNx films are grown at 270 °C.

ACS Paragon Plus Environment

38