Mechanism for the Atomic Layer Deposition of Copper Using

Aug 14, 2012 - Comproportionation Synthesis of Copper(I) Alkynyl Complexes Encapsulating Polyoxomolybdate Templates: Bowl-Shaped Cu33 and Peanut-Shape...
47 downloads 11 Views 1MB Size
Article pubs.acs.org/JPCA

Mechanism for the Atomic Layer Deposition of Copper Using Diethylzinc as the Reducing Agent: A Density Functional Theory Study Using Gas-Phase Molecules as a Model Gangotri Dey and Simon D. Elliott* Tyndall National Institute, University College Cork, Dyke Parade, Lee Maltings, Cork, Ireland ABSTRACT: We present theoretical studies based on firstprinciples density functional theory calculations for the possible gas-phase mechanism of the atomic layer deposition (ALD) of copper by transmetalation from common precursors such as Cu(acac)2, Cu(hfac)2, Cu(PyrImR)2 with R = iPr and Et, Cu(dmap)2, and CuCl2 where diethylzinc acts as the reducing agent. An effect on the geometry and reactivity of the precursors due to differences in electronegativity, steric hindrance, and conjugation present in the ligands was observed. Three reaction types, namely, disproportionation, ligand exchange, and reductive elimination, were considered that together comprise the mechanism for the formation of copper in its metallic state starting from the precursors. A parallel pathway for the formation of zinc in its metallic form was also considered. The model Cu(I) molecule Cu2L2 was studied, as Cu(I) intermediates at the surface play an important role in copper deposition. Through our study, we found that accumulation of an LZnEt intermediate results in zinc contamination by the formation of either Zn2L2 or metallic zinc. Ligand exchange between Cu(II) and Zn(II) should proceed through a Cu(I) intermediate, as otherwise, it would lead to a stable copper molecule rather than copper metal. Volatile ZnL2 favors the ALD reaction, as it carries the reaction forward.

1. INTRODUCTION The modern electronics industry has an urgent need to incorporate a conformal nanometer-thin layer of copper to be used as a seed layer for the subsequent electrodeposition of copper in interconnects. The International Technology Roadmap for Semiconductors describes this as an urgent challenge.1 Currently, the seed layer is deposited using ionized physical vapor deposition (iPVD). However, iPVD cannot meet the requirements of scaling down device sizes, as this will require the aspect ratio of the interconnect to increase. At dimensions below 20 nm, iPVD produces defective nonconformal layers that might result in overhanging and discontinuous copper films. The voids can only be filled after electrodeposition, which lowers the reliability of the interconnect because of the fast electromigration pathways on the void surfaces. Atomic layer deposition (ALD) is a useful alternative for forming thin conformal layers. This process is used in the semiconductor industry to deposit high-k dielectric materials. Although ALD has been explored for oxides, nitrides,2,3 and sulfides,4 it is proving difficult to achieve the ALD of many metals, such as copper, at sufficiently low temperature (100 °C).5−7 The difficulty lies in finding a proper co-reagent for metal ALD. One of the specific difficulties faced for copper is the nucleation mode that results in the formation of islands, rather than continuous films. Hence, we are seeking lowtemperature ALD so as to avoid aggregation. In addition, the reducing or oxidizing nature of the co-reagent could limit its use on sensitive substrates. The distinguishing characteristic of ALD is the separate and alternating pulsing into the reactor of two or more gaseous © 2012 American Chemical Society

reagents that undergo self-terminating surface reactions. Various possible approaches for copper ALD have been studied. A wide range of copper precursors have been tested with traditional reducing agents such as hydrogen, formaldehyde, and alcohols.8,9 Orimoto et al.10 conducted a screening study on various copper(II) β-diketonates using density functional theory (DFT) to estimate complex stabilities. They found that, even though the calculations were done without full consideration of the environment, they still could correctly predict formation energies and reduction potentials. Thus, we conclude that DFT is an efficient method for investigating ALD reactions. Cu(I) compounds were described by Li et al.11 as promising precursors for Cu ALD. Later, however, Ma et al.12 revealed that these reactions resemble thermal chemical vapor deposition (CVD) rather than ALD, as the molecules have a tendency to self-decompose at the surface. Coyle et al.13 described a similar type of reaction in a DFT and Fourier transform infrared (FTIR) spectroscopy study. Simple precursors such as copper chloride with hydrogen as the reducing agent were computed by Per et al.,14−16 both in the gas phase and also over a copper(111) surface. They described the formation of HCl along with the deposition of copper over the surface. The low volatility of CuCl might be a problem for the reaction. Yet, the problem of islanding remains, because of the high thermal energy needed to crack the hydrogen Received: May 8, 2012 Revised: July 18, 2012 Published: August 14, 2012 8893

dx.doi.org/10.1021/jp304460z | J. Phys. Chem. A 2012, 116, 8893−8901

The Journal of Physical Chemistry A

Article

Figure 1. Stick representation of computed structures of precursors and intermediates: (a) Cu(acac)2, (b) Cu(hfac)2, (c) Cu(dmap)2, (d) i

Cu(PyrIm Pr)2, and (e) Cu(PyrImEt)2 are the precursors used to understand the mechanism. (f) Cu(dmap)Et is a sample intermediate during the course of the reaction. The Zn byproducts are similar to these structures, where the Cu atom is replaced by Zn. Quantitative differences in structure between the copper precursors and the zinc byproducts are reported in Table 1. i

2-pyrrolylaldiminate [Cu(PyrIm Pr)2], and copper(II)N-ethyl-2pyrrolyl-aldiminate [Cu(PyrlmEt)2]. Intermediates such as LMEt (M = Cu, Zn; L = ligand), Cu2L2, and LEt were detected in solution when diethylzinc was the reducing agent. Corresponding intermediates using trimethylaluminium and triethylborane were also detected in the solution-phase study. This led to the conclusion that diethylzinc is the best of the reducing agents because of its high reactivity compared to the other organometallic reagents that were studied. Understanding the mechanism of Cu ALD by transmetalation is the motivation for this work. The intermediates found during the course of analogous reactions in solution20,21 provide an initial picture of the reaction pathway. However, an improved understanding of the mechanism at its atomic level will enable the problems related to existing organometallic reagents as the reducing agent to be identified and a better precursor to be found. For this purpose, we considered the same precursors as used by Vidjayacoumar et al.,20,21 along with the copper(II)dialkylamino-2-propoxide [Cu(dmap)2] precursor that was studied by Lee et al. (Figure 1). CuCl2 data were also calculated so as to investigate the simplest form of ligand. As a co-reagent, we considered diethylzinc. An assumption was made in this work that all of the ligands in the copper precursors are “innocent”, meaning that they themselves are neither oxidizing nor reducing.22

molecule. There are also reports of plasma-enhanced ALD of copper,17 where the use of hydrogen plasma prior to copper ALD enhanced nucleation and promoted (111)-textured growth.18 A good replacement of traditional reducing agents by an organometallic compound was reported by Lee et al.,19 who achieved copper deposition by the alternate and separate pulsing of copper dimethyl-2-propoxide [Cu(dmap)2] and diethylzinc at 120 °C. They suggested that the precursor adsorbs on the surface through dipole−dipole interaction and proposed the following transmetalation reaction Cu(dmap)2 (g) + ZnEt 2(g) → Cu(s) + Zn(dmap)2 (g) + butane(g)

(1)

The byproducts were reported to desorb from the surface without decomposition at low temperature.19 The use of a liquid co-reagent makes it possible to perform solution-phase screening, so Vidjayacoumar et al.20 examined the possibility of these types of ligand-exchange reactions for the deposition of copper from solution with organometallic reagents such as trimethyaluminium, triethylborane, and diethylzinc. They also checked a wide range of ligands, including copper(II) acetylacetonate [Cu(acac)2], copper(II)hexafluoroacetylacetonate [Cu(hfac)2], copper(II)N-isopropyl8894

dx.doi.org/10.1021/jp304460z | J. Phys. Chem. A 2012, 116, 8893−8901

The Journal of Physical Chemistry A

Article

Table 1. Optimized Structural Parameters of the Copper Precursors CuL2 and Their Corresponding Zinc Compounds ZnL2a CuL2 bond length (Å) theory M(acac)2 M(hfac)2 M(dmap)2 M(PyrImR)2 R = iPr M(PyrImR)2 R = Et

Cu−O Cu−O Cu−O Cu−N Cu−Npyr Cu−Nim Cu−Npyr Cu−Nim

1.95 1.95 1.91 2.09 1.98 2.04 1.98 2.06

ZnL2 dihedral angle (deg)

expt 1.9220 1.86 2.0629 1.93−1.94b 1.97−2.0036 1.93−1.94c 2.00−2.0136

theory

expt

0.1 6.0 0.6

square planar20 square planar20 square planar29

45.0

45−5520

33.0

32−3336

bond length (Å) Zn−O Zn−O Zn−O Zn−N Zn−Npyr Zn−Nim Zn−Npyr Zn−Nim

dihedral angle (deg)

theory

expt

theory

expt

1.96 1.97 1.89 2.19 2.06 2.01 2.06 2.00

1.99−2.0335

76.0 74.7 51.0

88.535

78.9 88.0

The dihedral angle is between the four coordinating atoms of the ligand, for example in Cu(acac)2 the angle is between the four oxygen atoms ∠O− O−O−O. bR = nPr. cR = Me. a

2. METHODS 2.1. Choice of Model Molecules. Our focus in this study was on the differences in geometry and bonding strength of the various copper precursors. The precursor molecules CuL2 and ZnEt2 and their ALD reaction products were modeled as isolated molecules in vacuum. We also used gas-phase models to investigate molecules such as CuLEt and Cu2L2 that crudely resemble the oxidation state and bonding in likely reaction intermediates occurring on the growing surface during ALD. We mainly considered the intermediates proposed by Vidjayacoumar et al.,21 along with other probable intermediate structures that can be formed but not detected experimentally. For example, one Cu(I) intermediate has been reported, namely, Cu2L2, but as an alternative, Cu2LEt could also be formed during the reaction. We checked the probability of formation of the intermediates through our calculations. A later study will develop a more realistic surface model. 2.2. Computational Details. The ground-state electronic wave function of each molecule was calculated self-consistently within Kohn−Sham density functional theory (DFT) using the TURBOMOLE suite of quantum chemical programs.23,24 We described all atoms with all-electron basis sets, without the use of any effective core potential. The Perdew−Burke−Ernzerhof (PBE) functional25 with the resolution-of-the-identity (RI) approximation26,27 and valence double-ζ with polarization defSV(P) basis set28 was considered the most suitable level of calculation. No basis set superposition error correction was required for a basis of this size. An even larger def-TZV(P)26 basis set gave reaction energies that agreed to within less than 10%, but were an order of magnitude more costly in computational time. For consistency, we will use the same SV(P) basis in our surface calculations in the future, where computational requirements will be much higher. We validated our choice of basis set and functional by comparing with experimental data. For instance, in the case of Cu(dmap)2, the calculations showed an expected level of agreement of the bond distances for Cu−O (theory, 1.91 Å; experiment, 1.86 Å) and Cu−N (theory, 2.09 Å; experiment, 2.06 Å). 29 The coordination environment around copper was computed to be planar in this molecule, as observed by experiment. All the neutral Cu(II) precursor molecules are open shell compounds (doublet spin, contamination