Microelectronics Processing - ACS Publications - American Chemical

surface impurities that can create defects in the growing film. The C V D reactor ..... adsorbed silicon species difiuse on the surface to growth site...
0 downloads 0 Views 8MB Size
5 Chemical Vapor Deposition

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

Klavs F. Jensen Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, M N 55455

Chemical vapor deposition (CVD) of thin solid films from gaseous reactants is reviewed. General process considerations such as film thickness, uniformity, and structure are discussed, along with chemical vapor deposition reactor systems. Fundamental issues related to nucleation, thermodynamics, gas-phase chemistry, and surface chemistry are reviewed. Transport phenomena in low-pressure and atmospheric-pressure chemical vapor deposition systems are described and compared with those in other chemically reacting systems. Finally, modeling approaches to the different types of chemical vapor deposition reactors are outlined and illustrated with examples.

C J H E M I C A L VAPOR D E P O S I T I O N IS A K E Y P R O C E S S i n m i c r o e l e c t r o n i c s fab-

rication for the d e p o s i t i o n of t h i n films of metals, semiconductors, a n d i n sulators o n s o l i d substrates. A s the n a m e indicates, c h e m i c a l l y r e a c t i n g gases are u s e d to synthesize the t h i n s o l i d films. T h e use of gases distinguishes c h e m i c a l vapor d e p o s i t i o n ( C V D ) f r o m p h y s i c a l d e p o s i t i o n processes s u c h as s p u t t e r i n g a n d evaporation a n d imparts versatility to the d e p o s i t i o n t e c h nique. T h e reactions u n d e r l y i n g C V D t y p i c a l l y occur b o t h i n the gas phase a n d o n the surface of the substrate. T h e energy r e q u i r e d to d r i v e the reactions is u s u a l l y s u p p l i e d t h e r m a l l y b y h e a t i n g t h e substrate or, i n a f e w instances, b y h e a t i n g the gas. A l t e r n a t i v e l y , photons from an u l t r a v i o l e t ( U V ) l i g h t source or from a laser, as w e l l as energetic electrons i n plasmas, are u s e d to d r i v e l o w - t e m p e r a t u r e d e p o s i t i o n processes. Various reaction schemes, i n c l u d i n g p y r o l y s i s , r e d u c t i o n , o x i d a t i o n , d i s p r o p o r t i o n a t i o n , a n d h y d r o l y s i s o f the reactants, have b e e n u s e d to p r o d u c e a large v a r i e t y o f t h i n films relevant to m i c r o e l e c t r o n i c s processing. T a b l e I 0065-2393/89/0221-0199$15.75/0 © 1989 American Chemical Society

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

200

Table I. C V D Films for Microelectronic Application Application

Type

Insulator

Oxide Nitride Oxynitride Element Group I I - V I compound Group I I I - V compound

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

Semiconductor

Conductor

Oxide Metal Silicide

Superconductor

Examples Si0 , A1 0 , Ti0 , Ta 0 , B O , P O Si N , Si.N^H, BN S i O , N , ΑΙ,Ο,Ν Si, Ge 2

3

2

3

2

2

5

2

s

2

s

4

t

ZnS, ZnSe, CdTe, CdHgTe GaAs, AlGaAs, A l G a N , InP, GalnAs, GalnAsP, InSb, GaSb S n 0 , S n 0 , ZnO W, M o , C r , A l WSi , MoSi , TiSi Nb Sn, N b N , YBa Cu O 2

2

3

2

3

2

2

2

3

x

lists examples of these films, a n d T a b l e II gives examples of C V D processes. G e n e r a l reviews of C V D a n d r e l a t e d t h i n - f i l m deposition processes are a v a i l ­ able i n a n u m b e r o f books a n d survey papers (1-6). T h i s chapter i n c l u d e s o n l y a short o v e r v i e w of the m a i n processes a n d concentrates o n the f u n ­ damental physicochemical phenomena underlying C V D .

CVD Processes General Process Considerations. T o be useful, a C V D process m u s t p r o d u c e t h i n films w i t h r e p r o d u c i b l e a n d controllable properties i n ­ c l u d i n g p u r i t y , c o m p o s i t i o n , film thickness, adhesion, crystalline s t r u c t u r e , a n d surface m o r p h o l o g y . T h e g r o w t h rates must be reasonable, a n d the deposition m u s t not have significant i m p a c t o n the microstructures already f o r m e d i n the substrate. T h e d e p o s i t i o n t i m e must be sufficiently short, a n d the t e m p e r a t u r e has to b e l o w e n o u g h so that dopant solid-state diffusion does not smear the results of previous processing steps. T h e acceptable l i m i t s o n the properties of the films vary w i t h the a p ­ p l i c a t i o n , b u t stringent d e m a n d s are characteristic i n the processing of m a ­ terials for electronic applications. T h e demands increase w i t h the l e v e l of integration, the decrease i n device size, a n d the c o m p l e x i t y of the device. S e m i c o n d u c t o r films for h i g h - p e r f o r m a n c e d i g i t a l d e v i c e s ( e . g . , A l G a A s - G a A s ) have to be perfectly single crystalline w i t h i m p u r i t i e s i n the l o w p a r t - p e r - b i l l i o n range. F i l m thickness u n i f o r m i t y is generally c r i t i c a l i n m a i n t a i n i n g the same device characteristics (e.g. t h r e s h o l d voltages) across each substrate a n d f r o m substrate to substrate. F u r t h e r m o r e , applications for h e t e r o j u n c t i o n digital a n d o p t i c a l devices r e q u i r e that the interface c o n ­ centration b e t w e e n successive layers of semiconductors changes o v e r a few monolayers or is graded i n a c o n t r o l l e d m a n n e r . T h e p r o d u c t i o n of films w i t h r e p r o d u c i b l e and controllable e l e c t r i c a l , optical, a n d m e c h a n i c a l properties requires p u r e C V D reagents that do not

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989. 3

4

2

Overall Reaction

Si Epitaxy Low-pressure C V D (LPCVD)

2

4

3

4

x

2

4

2

4

2

2

x

2

3

3

3

3

3

6

2

3

4

4

2

2

3

3

3

3

In(CH ) + P ( C H ) ^ I n P

3

3

6

2

2

2

3

4

4

SiHU-xCL + H -> Si + xHCl, χ = 0, 2, 3, or 4 S i H - ^ Si + 2 H SiH + N 0 - > Si0 + N SiH Cl + NH -» Si N WF + H -» W + HF S i H ^ Si + 2 H Very Low Pressure C V D 2HC1 + 2 G a - » 2GaCl + H Vapor-phase Epitaxy 12GaCl + 4AsH + 2As + As (VPE) ±* 12GaAs + 12HC1 Ga(CH ) + A s H —» GaAs + C H Metallorganic C V D Ga(CH ) + A1 (CH ) + A s H (MOCVD) - » Al Gai_ As + C H S i H ^ a:SiH Plasma-enhanced C V D S i H + N H —> Si,N,:H (PECVD) SiH + N 0 - j | SiO, Photon-assisted C V D

System

Table II. C V D Systems

800- -1000 300- -600 400- -700 300- -600 600- -700

101 kPa 50 50 50-500 60-500

800- -1100 800- -900

11- -13 26- -29 26- -29 40 35

9 11- -13

7, ί*, 16 20, 21 20 167 66, 71 22, 23

1050- -1450 850- -950 900- -1000 1000- -1100 500- -700 850- -1150

101 kPa 50 50 50 50 1

101 kPa 101 kPa

Representative Reference

Temperature (K)

Pressure (Pascal)

Deposition

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

202

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

p r o d u c e b y p r o d u c t s that incorporate i n t o the g r o w i n g film a n d that do not interact w i t h gas-handling a n d reactor construction materials. T h e substrate has to b e p r o p e r l y c l e a n e d a n d p r e p a r e d for the deposition to a v o i d r e s i d u a l surface i m p u r i t i e s that can create defects i n the g r o w i n g film.

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

T h e C V D reactor m u s t be d e s i g n e d a n d operated i n such a m a n n e r that changes i n film thickness, crystal structure, surface m o r p h o l o g y , a n d i n t e r face c o m p o s i t i o n c a n b e accurately c o n t r o l l e d . T h e o v e r a l l process p e r f o r m ance d e p e n d s o n the reactor design a n d process variables such as reactant concentrations, flow rates, e n e r g y i n p u t , pressure, a n d substrate conditions. A m o d e l d e s c r i b i n g the relationship b e t w e e n the performance a n d the process variables allows the p r e d i c t i o n o f process results a n d the o p t i m i z a t i o n of process variables for a specific a p p l i c a t i o n . H o w e v e r , the interactions a m o n g d e p o s i t i o n c h e m i s t r y , transport processes, a n d g r o w t h m o d e s are c o m p l e x a n d , c o n s e q u e n t l y , p o o r l y u n d e r s t o o d . T h e r e f o r e , C V D process d e v e l o p m e n t has progressed t h r o u g h extensive one-parameter-at-a-time exp e r i m e n t a t i o n a n d e m p i r i c a l design rules. S e v e r a l C V D processes have e v o l v e d to accommodate the applications of C V D films i n microelectronics processing. T h e various processes are t y p ically c h a r a c t e r i z e d i n terms of the o p e r a t i n g pressure a n d t e m p e r a t u r e , as w e l l as the means of e n e r g y i n p u t . T a b l e I I gives examples of t y p i c a l C V D processes a n d o p e r a t i n g conditions.

CVD

Processes

at

Atmospheric

and

Reduced

Pressures.

A t m o s p h e r i c to slightly r e d u c e d pressures (~ 1 0 0 - 1 0 kPa) are u s e d p r i m a r i l y to grow epitaxial (i.e., single-crystalline) films of S i a n d c o m p o u n d s e m i c o n ductors such as G a A s , I n P , a n d H g C d T e . T h e s e processes generally i n v o l v e h i g h g r o w t h temperatures ( > 8 5 0 °C for S i a n d 4 0 0 - 8 0 0 °C for most c o m p o u n d semiconductors), a l t h o u g h the reactor walls are c o o l e d to m i n i m i z e i m p u r i t y generation. S i C l is the classical reactant for the epitaxial g r o w t h of S i , b u t it has b e e n r e p l a c e d b y S i H C l , S i H C l , a n d S i H to decrease the d e p o s i t i o n t e m p e r a t u r e a n d to m i n i m i z e solid-state difiusion out of the substrate i n t o the g r o w i n g film. I n g e n e r a l , the d e p o s i t i o n t e m p e r a t u r e for epitaxial g r o w t h decreases w i t h the C I content of the reactant from 1150 ° C for S i C l to 850 °C for S i H (7, 8). G o o d single-crystalline films are easier to p r e p a r e w i t h C l - c o n t a i n i n g c o m p o u n d s t h a n w i t h S i H , because the reverse e t c h i n g r e action b y H C 1 p r e f e r e n t i a l l y occurs at defect sites. 4

3

2

2

4

4

4

4

Vapor-phase epitaxy ( V P E ) is a w e l l - d e v e l o p e d t e c h n i q u e for g r o w i n g group I I I - V c o m p o u n d semiconductors, specifically G a A s a n d G a l n A s P , from the c o r r e s p o n d i n g h y d r i d e s a n d halides of the i n d i v i d u a l components (9). T h e d e p o s i t i o n process essentially relies o n the t e m p e r a t u r e d e p e n d e n c e of the e q u i l i b r i u m d i s t r i b u t i o n of the d e s i r e d film m a t e r i a l (e.g., G a A s ) a n d the gas-phase species (e.g., G a C l , A s , a n d A s ) . B y i m p o s i n g a t e m p e r a t u r e gradient o n the reactor, the gas-phase species is f o r m e d i n a hot r e g i o n a n d 2

4

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

5.

JENSEN

Chemical Vapor

203

Deposition

the e q u i l i b r i u m is shifted towards the d e s i r e d s o l i d (e.g., G a A s ) i n a slightly colder r e g i o n . T h i s process has b e e n u s e d successfully to grow G a A s a n d G a l n A s P . H o w e v e r , the h i g h reactivity o f A l C l a n d t h e r m o d y n a m i c l i m i t a t i o n s o f A l h a l i d e c o m p o u n d s make it difficult to deposit A l - c o n t a i n i n g films b y V P E (I). T h i s l i m i t a t i o n is a serious constraint because m a n y devices use A l G a A s - G a A s structures. A n alternative C V D process, metallorganic C V D ( M O C V D ) , also c a l l e d organometallic vapor-phase epitaxy ( O M V P E ) , has attracted considerable Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

attention, because i t allows greater flexibility t h a n V P E does i n the synthesis of t h i n , h i g h - p u r i t y , epitaxial films o f c o m p o u n d semiconductors

(10-13).

T h i s t e c h n i q u e entails the transport o f at least one of the film constituents as an organometallic c o m p o u n d . F o r example, A l G a A s can b e

deposited

from organometallic sources o f G a a n d A l (e.g., G a ( C H ) a n d A l ( C H ) , 3

3

2

3

6

respectively) a n d A s H . A l t e r n a t i v e l y , an organometallic source of A s c o u l d 3

b e u s e d (14, 15). T h i s t e c h n i q u e has b e e n u s e d to g r o w n u m e r o u s g r o u p I I - V I a n d group I I I - V c o m p o u n d semiconductors, i n c l u d i n g G a A s , A l G a A s , G a l n A s P , G a S b , I n S b , Z n S e , a n d C d H g T e , for optoelectronic a n d h i g h speed electronic devices (JO, 12). T h e h o r i z o n t a l reactor ( F i g u r e l a ) is a classical configuration for g r o w t h at atmospheric or r e d u c e d pressure. T h i s reactor is n o w p r i m a r i l y u s e d for research a n d for the epitaxial g r o w t h of c o m p o u n d semiconductors, along w i t h the v e r t i c a l reactor ( F i g u r e l b ) . T h e b a r r e l reactor ( F i g u r e l c ) is the p r i m a r y reactor for S i epitaxy (16, 17), a n d small barrels are b e g i n n i n g to be u s e d i n G a A s technology (18, 19). So-called " p a n c a k e " reactors also find use i n S i technology. T h e reactor walls are t y p i c a l l y cooled, except for V P E applications, to m i n i m i z e particulate a n d i m p u r i t y p r o b l e m s caused b y d e p o s i t i o n o n the walls. H o w e v e r , this c o o l i n g also creates large t h e r m a l gradients that i n d u c e c o m p l e x , b u o y a n c y - d r i v e n secondary flows. I n h o r i z o n t a l a n d b a r r e l reactors, the susceptor is t i l t e d relative to the m a i n flow d i r e c t i o n to i m p r o v e film u n i f o r m i t y along the l e n g t h of the susceptor. U n i f o r m i t y is f u r t h e r c o n t r o l l e d i n the b a r r e l reactor b y adjusting the i n l e t gas n o z z l e a n d s p i n n i n g the b a r r e l . I n v e r t i c a l reactors, the susceptor is often rotated to r e d u c e film thickness a n d c o m p o s i t i o n variations, b u t the rotation s p e e d ( 5 - 4 0 r p m ) is generally m u c h l o w e r than that n e e d e d to generate an i d e a l rotating-disk flow (500-2000 r p m ) . Low-Pressure C V D Processes. Low-pressure C V D ( L P C V D ) (~101 Pa) is the m a i n tool for the p r o d u c t i o n of polycrystalline S i d i e l e c t r i c a n d passivation films u s e d i n S i I C (integrated-circuit) manufacture (1, 20, 21). T h e m a i n advantage of L P C V D is the large n u m b e r o f wafers that can be coated simultaneously w i t h o u t d e t r i m e n t a l effects to film u n i f o r m i t y . T h i s capability is a result of the large diffusion coefficient at l o w pressures, w h i c h

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

204

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

allows the g r o w t h rate to be l i m i t e d b y the rate of surface reactions rather than b y the rate of mass transfer to the substrate. T y p i c a l l y , reactants can be u s e d w i t h no d i l u t i o n , a n d therefore g r o w t h rates are o n l y an o r d e r of m a g n i t u d e less t h a n those possible at atmospheric conditions, i n w h i c h h i g h d i l u t i o n ratios are u s e d to a v o i d gas-phase n u c l e a t i o n . V e r y l o w pressure processes (—1.3 Pa) have also b e e n u s e d for the g r o w t h

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

of single-crystalline S i at r e l a t i v e l y l o w temperatures (22, 23). L o w - p r e s s u r e o p e r a t i o n is also advantageous for the g r o w t h o f c o m p o u n d - s e m i c o n d u c t o r superlattices b y r e d u c i n g flow recirculations a n d i m p r o v i n g interface a b ­ ruptness (24). F i g u r e s l e a n d I f illustrate two t y p i c a l L P C V D reactor configurations. T h e s e reactors operate at —50 P a , a n d w a l l temperatures are a p p r o x i m a t e l y

Induction coil

Silicon wafers

^*c^» ooo ooo /ooo ooo

ooo ooo ooo ooo ooo

Horizontal CVD Reactor (a)

Vertical CVD Reactor (b)

j_Gas Inlel^ Radiant heaters -Quartz bell jar ^Exhaust Barrel CVD Reactor

Pancake CVD Reactor

(c)

(d) 3-zone temperature control

Gas injector

- Exhaust

inlet Gas flow Vertical LPCVD Reactor

(β)

Horizontal LPCVD Reactor (f)

Figure 1. Typical CVD reactor configurations, (a) Horizontal reactor, (b) ver­ tical reactor, (c) barrel reactor, (d) pancake reactor, (e) cross-flow LPCVD reactor, and (J) conventional multiple-wafer-in-tube LPCVD reactor.

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

5.

JENSEN

Chemical Vapor

205

Deposition

e q u a l to those of t h e deposition surfaces. T h u s , deposition also takes place o n t h e w a l l s , a situation that raises p o t e n t i a l particulate p r o b l e m s . T h e h o r izontal m u l t i p l e - w a f e r - i n - t u b e L P C V D reactor ( F i g u r e l e ) is t h e d o m i n a n t configuration for S i I C manufacture. T h e vertical-flow reactor ( F i g u r e If) gives better u n i f o r m i t y a n d l o w e r particulate counts than t h e h o r i z o n t a l geometry does b u t at t h e expense of l o w reactant utilization (25). Plasma-Enhanced C V D .

P l a s m a - e n h a n c e d C V D ( P E C V D ) has r e -

c e i v e d considerable attention i n microelectronics processing because o f its Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

ability to grow films at r e l a t i v e l y l o w temperatures a n d to i m p a r t special m a t e r i a l properties that cannot b e r e a l i z e d w i t h c o n v e n t i o n a l t h e r m a l p r o c esses (26-29). Plasmas u s e d i n microelectronics processing are w e a k l y i o n i z e d gases c o m p o s e d o f electrons, ions, a n d n e u t r a l species. T h e c h a r g e d species concentrations range from 1 0 to 1 0 / c m , a n d t h e ratio o f c h a r g e d 9

1 2

3

species to n e u t r a l species ranges from 1 0 " to 1 0 " . 6

4

T h e s e plasmas, also c a l l e d glow discharges, are generated b y a p p l y i n g an external electric field to t h e process gas at l o w pressures ( 0 . 1 - 5 0 Pa). T h e result is a m i x t u r e o f h i g h - e n e r g y , " h o t " electrons ( 1 - 1 0 e V ; 1 0 - 1 0 4

5

K) a n d " c o l d " ions a n d n e u t r a l species (300 K ) . T h i s h i g h electron energy relative to t h e l o w t e m p e r a t u r e o f n e u t r a l species makes discharges useful i n d r i v i n g C V D reactions. Inelastic collisions b e t w e e n t h e h i g h - e n e r g y electrons a n d n e u t r a l m o l ecules result i n , a m o n g other processes, electron-impact i o n i z a t i o n a n d m o l e c u l a r d i s s o c i a t i o n . E l e c t r o n - i m p a c t i o n i z a t i o n helps to sustain t h e discharge, a n d m o l e c u l a r dissociation creates free radicals that c o n t r i b u t e to the d e p o s i t i o n processes. T h e created ions, electrons, a n d n e u t r a l fragments participate i n c o m p l e x surface reactions that form t h e basis of the film g r o w t h . P o s i t i v e - i o n b o m b a r d m e n t of surfaces i n contact w i t h the p l a s m a plays a k e y role b y m o d i f y i n g material properties d u r i n g d e p o s i t i o n . A d i r e c t - c u r r e n t (dc) bias p o t e n t i a l may b e a p p l i e d to t h e excitation electrode to increase t h e i o n e n e r g y a n d enhance t h e d e s i r e d effects o f i o n b o m b a r d m e n t (30). F o r radiation-sensitive substrates such as c o m p o u n d

semiconductors,

afterglow d e p o s i t i o n systems have b e e n d e v e l o p e d (31). I n these processes, the radicals are f o r m e d i n t h e glow discharge a n d t h e n transported o u t o f the discharge r e g i o n to a d o w n s t r e a m d e p o s i t i o n zone. T h i s p l a s m a configuration eliminates i o n b o m b a r d m e n t a n d allows t h e selective activation o f reactants b y r e g u l a t i n g the species that flow t h r o u g h or bypass t h e discharge. A d d i t i o n a l g r o w t h considerations, as w e l l as process m o d e l i n g a n d plasma diagnostics u n d e r l y i n g plasma-enhanced C V D are f u r t h e r discussed b y H e s s a n d G r a v e s i n C h a p t e r 8, w h i c h is specifically d e v o t e d to p l a s m a processing. Photoassisted C V D .

I n a d d i t i o n to t h e r m a l energy a n d e l e c t r o n -

i m p a c t reactions, photons (e.g., U V light) can also d r i v e C V D reactions In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

206

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

(32-37). T h i s process has t h e advantage o f l o w - t e m p e r a t u r e d e p o s i t i o n , w h i c h m a y b e n e e d e d for t h e g r o w t h o f t h i n films o n t e m p e r a t u r e - s e n s i t i v e substrates s u c h as c o m p o u n d semiconductors a n d p o l y m e r s . I f a laser is u s e d as t h e light source, fine lines o f materials c a n b e w r i t t e n d i r e c t l y , a n d possibly, l i t h o g r a p h i c steps c a n b e a v o i d e d a n d damaged lines can b e r e p a i r e d . Photons c a n p r o m o t e C V D reactions b y different routes (34). O n e or m o r e photons m a y l e a d to t h e gas-phase photolysis o f a reactant a n d t h e formation o f reactive fragments. T h e l i g h t c a n also b e absorbed b y adsorbed surface species that t e n d to u n d e r g o reactions l e a d i n g to t h i n - f i l m formation. A l t e r n a t i v e l y , t h e photons c a n alter t h e electronic states o f t h e substrate surface a n d t h e r e b y p r o m o t e film reactions. F i n a l l y , t h e light can b e transf o r m e d into heat i n t h e t o p surface l a y e r a n d t h e r m a l l y d r i v e t h e d e p o s i t i o n process. T h i s c o n v e r s i o n to t h e r m a l energy is essentially e q u i v a l e n t to t h e r m a l C V D , b u t i f a laser is u s e d , t h e process has t h e advantages o f increased e n e r g y flux, r a p i d h e a t i n g , a n d a spatially w e l l - d e f i n e d d e p o s i t i o n area. Because o f t h e i r p o t e n t i a l d i r e c t - l i n e - w r i t i n g applications, p h o t o l y t i c - a n d pyrolytic-laser-assisted C V D processes are areas o f active research (30-39), a n d most reactor systems are s m a l l special-purpose laboratory reactors. Photosensitization is u s e d for large-area p h o t o c h e m i c a l l y s t i m u l a t e d C V D , because t h e generation o f a sufficient p h o t o n flux o v e r a large area to d r i v e t h e c h e m i s t r y d i r e c t l y is difficult. U s u a l l y , H g excited b y a n external H g l a m p is u s e d as a sensitizer. T h e energy i n t h e excited H g is t h e n transferred to other gas-phase species that decompose a n d react to f o r m a t h i n film. T h e process is u s e d i n h o r i z o n t a l reactors for t h e d e p o s i t i o n o f SiO a n d SiN H from S i H , N 0 , a n d N H (40-42) a n d to assist t h e d e p osition o f C d H g T e , i n w h i c h H g is a natural gas-phase constituent (43). x

y

z

4

2

3

Other C V D Processes. C V D also finds extensive use i n t h e p r o d u c t i o n of p r o t e c t i v e coatings (44,45) a n d i n t h e manufacture of o p t i c a l fibers (46-48). W h e r e a s t h e i m p o r t a n t q u e s t i o n i n t h e d e p o s i t i o n o f p r o t e c t i v e coatings is analogous to that i n microelectronics (i.e., t h e deposition o f a coherent, u n i f o r m film), t h e fabrication o f optical fibers b y C V D is f u n d a m e n t a l l y different. T h i s process involves gas-phase n u c l e a t i o n a n d transport of t h e aerosol particles to t h e fiber surface b y thermophoresis (49, 50). H e a t i n g t h e d e p o s i t e d p a r t i c l e layer consolidates i t i n t o t h e fiber structure. O f t e n , a t h e r m a l p l a s m a is u s e d to enhance t h e t h e r m o p h o r e t i c transport o f the particles to t h e fiber walls (48, 51). T h e gas-phase n u c l e a t i o n is d e t r i m e n t a l to other C V D processes i n w h i c h t h i n , u n i f o r m s o l i d films are d e s i r e d .

CVD Fundamentals C h e m i c a l vapor d e p o s i t i o n o f t h i n films involves gas-phase a n d surface r e actions c o m b i n e d w i t h transport processes. F i g u r e 2 gives a schematic r e p -

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

5.

JENSEN

Chemical Vapor

207

Deposition

Main Gas Flow Region

0

Gas Phase Reactions

?

I

τRedesorption of

Transport to Surface

| f

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

Desorption of Volatile Surface Reaction Products

F i , m

Precursor

Surface Diffusion

Adsorption of Film Precursor

Nucleation and Island Growth

S t e

P

G

Figure 2. Schematic of transport and reaction processes underlying

r

o

w

t

n

CVD.

resentation of the different e l e m e n t s of the g r o w t h process, w h i c h can b e 1

s u m m a r i z e d i n the f o l l o w i n g steps: 1. mass transport i n the b u l k gas flow r e g i o n from the reactor inlet to the d e p o s i t i o n zone, 2. gas-phase reactions l e a d i n g to the formation of f i l m precursors and b y p r o d u c t s , 3. mass transport of f i l m precursors to the g r o w t h surface, 4. adsorption of film precursors o n the g r o w t h surface, 5. surface diffusion of film precursors to the g r o w t h sites, 6. i n c o r p o r a t i o n of film constituents i n t o the g r o w i n g film (is­ land), 7. desorption o f b y p r o d u c t s o f the surface reactions, a n d 8. mass transport of b y p r o d u c t s i n the b u l k gas flow r e g i o n away f r o m the d e p o s i t i o n zone towards the reactor exit. S i m i l a r reaction sequences have b e e n i d e n t i f i e d i n other c h e m i c a l l y reacting systems, specifically catalytic c o m b u s t i o n (52, 53), solid-fuel c o m ­ b u s t i o n (54), transport a n d reaction i n h i g h - t e m p e r a t u r e incandescent lamps (55), a n d heterogeneous catalysis (56 a n d references w i t h i n ) . T h e e l e m e n t a r y reactions i n h y d r o c a r b o n c o m b u s t i o n are better u n d e r s t o o d than most C V D gas-phase reactions are. S i m i l a r l y , the surface reaction mechanisms u n d e r l y i n g h y d r o c a r b o n catalysis are better k n o w n than C V D surface reactions. T h e d e p o s i t i o n of S i b y the r e d u c t i o n of S i H is a c o n v e n i e n t example of a C V D process that clearly displays the reaction steps j u s t l i s t e d . S i H 4

4

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

208

MICROELECTRONICS PROCESSING: C H E M I C A L E N G I N E E R I N G ASPECTS

diluted in H

2

is the starting m a t e r i a l . A s the S i H

4

is transported into the

hot gas phase adjacent to the substrate, it pyrolyzes to f o r m S i H

2

and H

2

according to the f o l l o w i n g reaction: SiH

4

±5 S i H

+ H

2

(1)

2

T h e silylene m o l e c u l e , S i H , is v e r y reactive a n d r a p i d l y inserts itself into 2

H , silane, a n d h i g h e r silanes w i t h almost no activation energy (57-59), as 2

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

s h o w n b y the f o l l o w i n g reactions: SiH

4

+ SiH

2

±¥ S i H

6

(2)

Si H

6

+ SiH

2

±> S i H

8

(3)

2

2

3

T h e h i g h e r silanes m a y lose h y d r o g e n b y a reaction s i m i l a r to that g i v e n i n e q u a t i o n 1: Si H 2

6

±5 S i H 2

4

+ H

(4)

2

A l l the s i l i c o n h y d r i d e s are adsorbed o n the S i surface. T h e unsaturated species a n d h i g h e r silanes are adsorbed m o r e r e a d i l y than silane (60). T h e adsorbed silicon species difiuse o n the surface to g r o w t h sites, w h e r e the S i is i n c o r p o r a t e d i n the g r o w i n g film a n d the b y p r o d u c t , h y d r o g e n , is released and e v e n t u a l l y desorbs as h y d r o g e n molecules. T h e relative rates of surface diffusion, n u c l e a t i o n , a n d adsorption g o v e r n the crystalline m o r p h o l o g y of the g r o w i n g film. T h i s a n d other f u n d a m e n t a l issues are discussed i n subsequent sections, along w i t h f u r t h e r information o n specific C V D systems, i n c l u d i n g systems for S i a n d G a A s deposition.

Nucleation and Growth Modes. T h e three p r i m a r y g r o w t h modes for t h i n films are i l l u s t r a t e d i n F i g u r e 3 (61). I n t h r e e - d i m e n s i o n a l i s l a n d g r o w t h , r e f e r r e d to as V o l m e r - W e b e r g r o w t h , small clusters are n u c l e a t e d d i r e c t l y o n the substrate surface. T h e clusters g r o w into islands of the film m a t e r i a l that e v e n t u a l l y coalesce to f o r m a continuous film ( F i g u r e 3a). T h i s g r o w t h m o d e takes place w h e n the film atoms are m o r e strongly b o u n d to each other than to the substrate. T h i s g r o w t h m o d e applies to silicon g r o w t h o n insulators (e.g., S i o n S i 0 , S i N , or A l 0 ) (8, 62-64) a n d is also a c o m m o n g r o w t h m o d e for metals o n insulators. A l u m i n u m C V D is an ext r e m e example of a C V D process i n w h i c h a catalyst such as T i C l is n e e d e d to nucleate the clusters (65, 66). 2

3

4

2

3

4

T w o - d i m e n s i o n a l l a y e r - b y - l a y e r g r o w t h ( F i g u r e 3c), also c a l l e d F r a n c k - v a n d e r M e r w e g r o w t h , occurs w h e n the film atoms are e q u a l l y or less strongly b o n d e d to each other than to the substrate. T h i s g r o w t h m o d e applies to homoepitaxy o n clean substrates (e.g., S i o n Si). T h e p r e s e n c e of

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

5.

JENSEN

Chemical Vapor

209

Deposition

Surface Coverage

θ

(191). I n this m a n n e r , t h e e x p e r i m e n t a l data can b e c o r r e l a t e d , b u t t h e m o d e l has l i m i t e d capability for p r e d i c t i n g behavior b e y o n d t h e p a r t i c u l a r set o f e x p e r i m e n t s u s e d to fit the m o d e l . I n fact, because o f t h e l o w values o f t h e R e y n o l d s n u m b e r ( H g *

(41)

T h e energy is subsequently transferred from H g * to other gas-phase species b y collisions. M o d e l i n g studies of this process are few, b u t the concepts of p h o t o c h e m i c a l reaction e n g i n e e r i n g (236,237) can be adapted to this system.

Conclusion C h e m i c a l vapor d e p o s i t i o n is a k e y process for the g r o w t h of electronic materials for a large variety of devices essential to m o d e r n technology. Its flexibility a n d r e l a t i v e l y l o w deposition temperatures make C V D attractive for future device applications i n S i and c o m p o u n d - s e m i c o n d u c t o r t e c h n o l ogies. T h e process involves gas-phase a n d surface reactions that m u s t be c o n t r o l l e d to achieve d e s i r e d m a t e r i a l a n d electronic properties. E x c e p t for silane c h e m i s t r y , C V D c h e m i c a l mechanisms a n d kinetics are p o o r l y characterized. C u r r e n t l y , the interest i n u n d e r s t a n d i n g C V D c h e m i s t r y is g r o w i n g , a n d the results w i l l be essential to the future d e v e l o p m e n t of the process. C V D reactors i n v o l v e transport p h e n o m e n a that are analogous to those f o u n d i n o t h e r c h e m i c a l l y reacting systems, specifically heterogeneous catalytic reactors a n d c o m b u s t i o n systems. L P C V D reactor m o d e l i n g involves m a n y of the same issues of m u l t i c o m p o n e n t difiusion reactions that have b e e n s t u d i e d i n the past decade i n connection w i t h heterogeneous catalysis. C o m p l e x fluid-flow p h e n o m e n a strongly affect the performance of atmospheric-pressure C V D reactors. T w o d i m e n s i o n a l a n d some t h r e e - d i m e n s i o n a l flow structures i n the classical h o r izontal a n d v e r t i c a l C V D reactors have b e e n e x p l o r e d t h r o u g h flow v i s u a l -

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

5.

JENSEN

Chemical Vapor

Deposition

255

ization a n d d e t a i l e d transport computations, a n d an increased u n d e r s t a n d i n g of the role o f gas expansions, b u o y a n c y effects, a n d reactor enclosure design in the o v e r a l l transport p h e n o m e n a is e m e r g i n g . H o w e v e r , fully t h r e e - d i ­ m e n s i o n a l structures r e m a i n to be c o m p l e t e l y u n d e r s t o o d . T h e applications of n o n c o n v e n t i o n a l C V D processes, such as laser a n d p l a s m a processing, are l i k e l y to expand, a n d these applications r e q u i r e an increased f u n d a m e n t a l u n d e r s t a n d i n g of the u n d e r l y i n g c h e m i s t r y a n d trans­ port processes.

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

Abbreviations and Symbols «AC

lattice constant of c o m p o u n d A C

c

concentration b u l k concentration i n p u t concentration heat capacity

Co c D P

molecular difusivity b i n a r y m o l e c u l a r diffusivity m u l t i c o m p o n e n t diffusivity of trace i i n m i x t u r e m

£>,„,

refers to gaseous state w h e n u s e d as a superscript

g H,

m o l a r e n t h a l p y of c o m p o n e n t i m o d i f i e d B e s s e l function of the first k i n d , of o r d e r zero

h

m o d i f i e d B e s s e l f u n c t i o n of the second k i n d , of o r d e r one flux of c o m p o u n d i relative to mass average v e l o c i t y thermal conductivity surface reaction rate constant

J, k K k, fcj, fc k

2

x

L

n

o u t w a r d n o r m a l to the deposition surface

n

g

n u m b e r of gas-phase reactions

s

n u m b e r of surface reactions

n

n,

film

Ni M 0 Ρ ΡΑ, P M

Pi Peq r r

rate constants rate constant at high-pressure l i m i t l e n g t h of d e p o s i t i o n zone i n L P C V D reactor

*

n u m b e r of film atoms i n species i flux of c o m p o n e n t i average m o l e c u l a r w e i g h t refers to the standard state w h e n u s e d as a superscript pressure partial pressures of A a n d M , respectively partial pressure o f c o m p o n e n t i e q u i l i b r i u m pressure radial coordinate critical n u c l e a t i o n radius

R

gas constant

R/

j t h gas-phase reaction

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

256

ft/

s

j t h surface reaction L P C V D tube radius S i wafer radius refers to the surface w h e n used as a superscript

S

n u m b e r of species

Γ

temperature

t

time l i n e a r velocity

H,

fi

w

υ V Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

average l i n e a r v e l o c i t y atomic v o l u m e m o l a r v o l u m e of film m o l e fraction c o m p o n e n t i d i m e n s i o n a l concentration

y ζ

axial coordinate

Zfc α

axial position of fcth wafer

α?

t h e r m a l difiusivity ratio

Ί

surface tension activity coefficient o f c o m p o n e n t i

7, δ δ Δ

0

ζ η μ ν, ξ Ρ

Φ Ω

boat area relative to t u b e area

film thickness film thickness at l e a d i n g edge of substrate wafer spacing dimensionless r a d i a l p o s i t i o n effectiveness factor viscosity c h e m i c a l p o t e n t i a l of c o m p o n e n t i stoichiometric coefficient stoichiometric coefficient for surface reactions dimensionless radial position density Thiele modulus interaction p a r a m e t e r (see e q u a t i o n 11)

Acknowledgments I am grateful for support f r o m the N a t i o n a l Science F o u n d a t i o n , the C a m i l l e a n d H e n r y D r e y f u s F o u n d a t i o n , the G u g g e n h e i m F o u n d a t i o n , a n d the M i n ­ nesota S u p e r c o m p u t e r Institute. I a m also grateful to the f o l l o w i n g colleagues w h o have c o n t r i b u t e d to this p a p e r t h r o u g h research a n d discussion w i t h m e : L . D a - C h e n g , E . O . E i n s e t , D . 1. F o t i a d i s , K . G i a p i s , S. K i e d a , D . W . K i s k e r , T. F . K u e c h , D . R . M c K e n n a , B . S. M e y e r s o n , H . K . Moffat, T. J . M o u n t z i a r i s , P. E . P r i c e , J r . , K . F. R o e n i g k , D . S k o u b y , a n d W . R i c h t e r .

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

5.

JENSEN

Chemical Vapor

Deposition

257

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

References 1. Hess, D. W.; Jensen, K. F.; Anderson, T. J. Rev. Chem. Eng. 1985, 3, 97-186. 2. Thin Film Processes; Vossen, J. L . ; Kern, W., Eds.; Academic: New York, 1978. 3. Kern, W.; Ban, V. S. In Thin Film Processes; Vossen, J. L . ; Kern, W., Eds.; Academic: New York, 1978; p. 257-331. 4. Bunshah, R. F. Deposition Technologies for Films and Coatings; Noyes: Park Ridge, NJ, 1982. 5. Handbook of Thin Film Technology; Maissel, K. I.; Glang, R. W., Eds.; McGraw-Hill: New York, 1970. 6. Sherman, A. Chemical Vapor Deposition for Microelectronics; Noyes: Park Ridge, NJ, 1987. 7. Bloem, J.; Giling, L. J. Current Topics in Materials Science 1978, 1, 147-342. 8. Bloem, J. J . Cryst. Growth 1980, 50, 581-604. 9. Olsen, G. H . In Integrated Circuits: Chemical and Physical Processing; Stroeve, P., E d . ; ACS Symposium Series 290; American Chemical Society: Washington, D C , 1985; pp 221-240. 10. Kuech, T. F. Mater. Sci. Rep. 1987, 2, 1-49. 11. Dapkus, P. D. Annu. Rev. Mater. Sci. 1982, 12, 243-269. 12. Dupuis, R. D. Science (Washington, DC) 1984, 226, 623-629. 13. Ludowise, M . J. J . Appl. Phys. 1985, 58, 31-R55. 14. Speckman, D. M . ; Wendt, J. P. Appl. Phys. Lett. 1987, 50, 676-678. 15. Lum, R. M . ; Klingert, J. K.; Lamont, M . G. Appl. Phys. Lett. 1987, 50, 284-286. 16. Cullen, G. W.; Corboy, J. F.; Metzl, R. RCA Rev. 1983, 44, 187-216. 17. Corboy, J. F.; Pagliaro, R., Jr. RCA Rev. 1983, 44, 231-249. 18. Tandon, J. L . ; Yeh, Y. C. M . ; J. Electrochem. Soc. 1985, 132, 662-668. 19. Ikeada, M . ; Kojima, S.; Kashiwayanagi, Y. J. Cryst. Growth 1986, 77, 157-162. 20. Rosler, R. S. Solid State Technol. 1977, 20(4), 63-69. 21. Roenigk, K. F.; Jensen, K. F. J . Electrochem. Soc. 1985, 132, 448-454. 22. Meyerson, B. S. Appl. Phys. Lett. 1986, 48, 797-799. 23. Meyerson, B. S.; Gamin, E.; Smith, D. Α.; Nguyen, T. N. J. Electrochem. Soc. 1986, 133, 132-135. 24. Fotiadis, D. I.; Kremer, A. M . ; McKenna, D. R.; Jensen, K. F. J . Cryst. Growth 1987, 85, 154-164. 25. Foster, D.; Learn Α.; Kamins, T. Solid State Technol. 1986, 29(5), 227-232. 26. Hess, D. W. J . Vac. Sci. Technol., A 1984, 2(2), 244-252. 27. Reif, R. J. Vac. Sci. Technol., A 1984, 2(2), 429-435. 28. Sherman, A. Thin Solid Films 1984, 113, 135-149. 29. Veprek, S. In Current Topics in Materials Science; Kaldis, E., E d . ; North­ -Holland: Amsterdam, 1980; Vol. 4, pp 151-236. 30. Greene, J. E.; Barnett, S. A. J . Vac. Sci. Technol. 1982, 21(2), 285-302. 31. Lucovsky, G . ; Richard, P. D . ; Tsu, D. V.; Lin, S. Y.; Plarkumas, R. J. J . Vac. Sci. Technol., A 1986, 4, 681-688. 32. Brauerle, D. Chemical Processing with Lasers; Springer-Verlag: Heidelberg, 1986. 33. Bauser, E. In Crystal Growth of Electronic Materials; E. Kaldis, Ed; Elsevier: Amsterdam, 1984; pp 41-55. 34. Chuang, T. J. J . Vac. Sci. Technol. 1982, 21(3), 798-806. 35. Ehrlich, D. J.; Tsao, J. Y. J. Vac. Sci. Technol., Β 1983, 1(4), 969-85. 36. Osgood, R. M . , Jr. Annu. Rev. Phys. Chem. 1983, 34, 77-101. 37. Houle, F. A. Appl. Phys., A 1986, 41, 315-330.

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

258

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

38. Allen, S. D.; Tringubo, A. B. J. Appl. Phys. 1982, 54(3), 1641-643. 39. Allen, S. D . ; Jan, R. Y.; Edwards, R. H . ; Mazuk, S. M . ; Vernon, S. D. SPIE 1984, 459, 42-48. 40. Chen, J. Y.; Henderson, R. C.; Hall, J. T.; Peters, J. W. J. Electrochem. Soc. 1984, 232(9), 2146-151. 41. Hamano, K.; Numazawa, Y.; Yamazaki, K. Jpn. J. Appl. Phys. 1984, 23(9), 1209-215. 42. Tarui, Y.; Hidaka, J.; Aota, K. Jpn. J. Appl. Phys. 1984, 23(11), L-827-829. 43. Irvine, S. J. C.; Giess, J.; Gough, J. S.; Blackmore, G. W.; Royle, Α.; Mullin, J. B.; Chew, N. G . : Cullis, A. G. J. Cryst. Growth 1986, 77, 437-451. 44. Yee, Κ. K. Int. Metals Rev. 1978, 23(1), 19-42. 45. Quinto, D. T. J. Vac. Sci. Technol., A 1988, 6, 2149-157. 46. Kruppers, D . ; Lydtin, H . In Chemical Vapor Deposition - Sixth International Conference; Donaghey, L. F.; Rai-Choudhury, P.; Tauber, R. N . , Eds.; Elec­ trochemical Society: Pennington, NJ, 1977; pp 461-476. 47. Midwinter, J. E . Optical Fibers for Transmission; Wiley: New York, 1979. 48. Adventures in Optical Fiber Communication; Nagel, S. R.; MacChesney, J. B.; Walker, K. L . , Eds.; Academic: Orlando, 1985. 49. Walker, K. L . ; Geyling, F. T.; Nagel, S. R. J. Am. Chem. Soc. 1980, 63, 552-558. 50. Walker, K. L . ; Harvey, J. W : Geyling, F. T.; Nagel, S. R.J.Am. Ceram. Soc. 1980, 63, 96-102. 51. Kruppers, D. Proceedings, Seventh International Conference on Chemical Va­ por Deposition; Electrochemical Society: Pennington, NJ, 1979; pp 159-175. 52. Ablow, C. M . ; Schechter, S.; Wise, H . Combust. Sci. Technol. 1980, 22, 107-117. 53. Pfefferle, L . D . ; Pfefferle, W. C.; Catal. Rev. Sci. Eng. 1987, 29, 219-264. 54. Sotirchos, S. V.; Srimivas, B.; Amundson, N. R. Rev. Chem. Eng. 1984, 2, 175-237. 55. Rosner, D. E . In High Temperature Lamp Chemistry; Electrochemical Society: Pennington, NJ, 1988; pp 88-84, 111-138. 56. Chemical Reaction and Reactor Engineering; Carberry, J. J.; Varma, Α., Eds.; Marcel Dekker: New York, 1986. 57. Inoue, G . ; Suzuki, M . Chem. Phys. Lett. 1985, 122, 361-364. 58. Walsh, R. Acc. Chem. Res. 1987, 14, 246-252. 59. Jasinski, J. M . ; Chu, J. O. J. Chem. Phys. 1988, 88, 1678-687. 60. Gates, S. M. Surf. Sci. 1988, 195, 307-309. 61. Venables, J. Α.: Spiller, G. D. T.: Hanbrucka, M . Rep. Prog. Phys. 1984, 47, 399-459. 62. Classen, W A. P.; Bloem, J. J. Electrochem. Soc. 1980, 127, 194-202. 63. Classen, W. A. P.; Bloem, J. J. Electrochem. Soc. 1980, 127, 1836-1843. 64. Classen, W. A. P.; Bloem, J. J. Electrochem. Soc. 1981, 128, 1353-1359. 65. Cooke, M . J.; Heinecke, R. Α.; Stern, R. C. Solid State Technol. 1982, 25(12), 62-65. 66. Levy, R. Α.; Green, M . L. J. Electrochem. Soc. 1987, 134, 37C-49C. 67. Joyce, B. A. Rep. Prog. Phys. 1974, 37, 363-420. 68. Biefeld, R. M . J. Cryst. Growth 1986, 77, 392-399. 69. Matthews, J. W.; Blakeslee, A. E . J. Vac. Sci. Technol. 1977, 14, 989-994. 70. Jastrzebski, L. J. Cryst. Growth 1983, 63, 493-516. 71. Broadbent, E . K.; Stacy, W. T. Solid State Technol. 1985, 28(12), 51-59. 72. McConica, C. M . ; Krishnamani, K. J. Electrochem. Soc. 1986, 133, 2542-2548. 73. Gale, R. P.; McClelland, R. W.; Fan, J. C. C.; Bozler, C. O. Appl. Phys. Lett. 1982, 41, 545-547.

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

5.

JENSEN

Chemical Vapor

Deposition

Asai, H . ; Ando, S. J. Electrochem. Soc. 1985, 132, 2445-2453. Gilmer, G. H . ; Broughton, J. Q. Annu. Rev. Mater. Sci. 1986, 16, 487-516. Kern, R.; Lelay, G . ; Msetois, J. J. Curr. Topics Mater. Sci. 1979, 3, 139-419. van der Eerden, J. P. Crystals; Springer-Verlag: Heidelberg, 1983, 9, 115-144. Madhukar, Α.; Chaisas, S. V. In CRC Crit. Rev. Solid State Mater. Sci. 1988, 14, 1-130. 79. Betsch, R. J. J. Cryst. Growth 1986, 77, 210-218. 80. Smith, W. R. Ind. Eng. Chem. Fund. 1980, 19, 1-10. 81. Van Zeggeren, F.; Storey, S. H . The Computation of Chemical Equilibria; Cambridge University Press; Cambridge, 1970. 82. White, C. W.; Seidler, W. D. AIChE J. 1981, 27, 466-471. 83. Tirtowidjojo, M.; Pollard, R. J. Cryst. Growth 1986, 77, 200-209. 84. Tirtowidjojo, M . ; Pollard, R. In Processing of Electronic Materials; Law, C. G.; Pollard, R., Eds.; Engineering Foundation: New York, 1987; pp 89-107. 85. Coltrin, M. E.; Kee, R. J.; Miller, J. A. J. Electrochem. Soc. 1984, 131, 425-434. 86. Coltrin, M . E.; Kee, R. J . ; Miller, J. A. J. Electrochem. Soc. 1986, 133, 1206-1214. 87. Moffat, Η. K.; Jensen, K. F. J. Electrochem. Soc. 1988, 135, 459-471. 88. Stringfellow, G. B. J. Cryst. Growth 1983, 62, 225-229. 89. Stringfellow, G. B.; Cherng, M . J. J. Cryst. Growth 1983, 64, 413-415. 90. Stringfellow, G. B. J. Cryst. Growth 1984, 68, 111-122. 91. Stringfellow, G. B. In Processing of Electronic Materials; Law, C. G.; Pollard, R., Eds.; Engineering Foundation: New York, 1987; pp 114-133. 92. Kisker, D. W.; Zawadzki, A. G. J. Cryst. Growth 1988, 89, 379-390, 93. Panish, M. B.; Ilegems, M . Prog. Solid State Chem. 1972, 7, 39-83. 94. Stringfellow, G. B. J . Cryst. Growth 1974, 27, 21-34. 95. Kroger, F. A. The Chemistry of Imperfect Crystals; 2nd rev. ed.; North-Hol­ land: Amsterdam, Netherlands, 1974. 96. Swalin, R. A. Thermodynamics of Solids; 2nd ed.; Wiley: New York, 1972. 97. Alam, M. K.; Flagan, R. C. Aerosol Sci. Tech. 1986, 5(2), 237-248. 98. Jasinski, J. M . ; Meyerson, B. S.; Scott, B. A. Annu. Rev. Phys. Chem. 1987, 38, 109-140. 99. Breiland, W. G . ; Ho, P.; Coltrin, M . E. J. Appl. Phys. 1986, 60, 1505-1513. 100. Breiland, W. G . ; Coltrin, M . E.; Ho, P. J. Appl. Phys. 1986, 59, 3267-3273. 101. White, R. T.; Espino-Rios, R. L . ; Rogers, D. S.; Ring, Μ. A.; O'Neal, H . E. Int. J. Chem. Kin. 1985, 17, 1029-1065. 102. Robertson, R.; Hills, D.; Gallagher, A. Chem. Phys. Lett. 1984, 103, 397-404. 103. Robertson, R.; Gallagher, A. J. Chem. Phys. 1986, 85, 3623-3630. 104. Scott, Β. Α.; Estes, R. D. Jasinski, J. M. J. Chem. Phys. 1988, 89, 2544-2549. 105. Doncaster, A. M . ; Walsh, R. Int. J. Chem. Kin. 1981, 13, 503-514. 106. Ho, P.; Coltrin, M . E.; Binkley, J. S.; Melius, C. F. J. Phys. Chem. 1985, 89, 4647-4657. 107. Ho, P.; Coltrin, M . E.; Binkley, J. S.; Melius, C. F. J. Phys. Chem. 1986, 90, 3399-3406. 108. Inoue, G . ; Suzuki, M . Chem. Phys. Lett. 1985, 122, 361-364. 109. Dzarnoski, J . ; Rickborn, S. F.; O'Neal, H . E.; Ring, M. Α. Οrganometallics 1982, 1, 1217-1220. 110. Ban, V. S. J. Electrochem. Soc. 1978, 125, 317-320. 111. Nishizawa, J.; Saito, M . J. Cryst. Growth 1981, 52, 213-218. 112. Sedgewick, T. O. In Proceedings, 6th International Conference on CVD, Elec­ trochemical Soceity: Pennington, NJ, 1977; pp 79-89. 113. Ho, P.; Breiland, W. G. Appl. Phys. Lett. 1983, 44, 125-126. 114. Jacko, M. G . ; Price, S. J. W. Can. J. Chem. 1963, 41, 1560-1567. 74. 75. 76. 77. 78.

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

259

;

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

260

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

115. Jacko, M. G.; Price, S. J. W. Can. J. Chem. 1964, 42, 1198-1205. 116. Butler, J. E . ; Bottka, N.; Silman, R. S.; Gaskill, D. K. J. Cryst. Growth 1986, 77, 73-78. 117. Denbaars, S. P.; Maa, B. Y.; Dapkus, P. D.; Danner, A. D.; Lee, H . C. J . Cryst. Growth 1986, 77, 188-194. 118. Lee, P.; Omstead, T. R.; McKenna, D. R.; Jensen, K. F. J. Cryst. Growth 1987 85, 165-174. 119. Larsen, C. Α.; Buchan, N. I.; Stringfellow, G. B. Appl. Phys. Lett. 1988, 52, 480-482. 120. Stringfellow, G. B. In Mechanisms of Reactions of Organometallic Compounds with Surface; Cole-Hamilton, D . , Ed.; NATO Adv. Study Institute: in press. 121. Dapkus, P. D.; DenBaars, S. P.; Chen, Q.; Maa, Β. Y. In Mechanisms of Reactions of Organometallic Compounds with Surface, Cole-Hamilton, D . , Ed.; NATO Adv. Study Institute: in press. 122. Mountziaris, T. J.; Jensen, K. F. In Chemical Perspectives of Microelectronics Processing; Gross, M. E.; Jasinski, J.; Yates, J. T., Eds.; Proc. Mat. Res. Soc. 131, paper E6-6, 1988. 123. Mitchell, S. Α.; Hacket, P. Α.; Rayner, D . M.; Humphries, M. R. J. Chem. Phys. 1985, 83, 5028-5038. 124. Nishizawa, J.; Kurabayashi, T. J. Electrochem. Soc. 1983, 130, 413-417. 125. Tamaru, K. J . Phys. Chem. 1955, 59, 777-780. 126. Frolov, I. A.; Kitaev, E . M.; Druz, B. L. Zhur. Fiz. Khim. 1977, 51, 1106-1108. 127. Luckerath, R.; Tommack, P.; Hertlinxg, Α.; Koss, H . J.; Balk, P.; Jensen, K. F.; Richter, W. J. Cryst. Growth 1988, 93 151-158. 128. Luckerath, R.; Richter, W.; Jensen, K. F. In Mechanisms of Reactions of Or­ ganometallic Compounds with Surface; Cole-Hamilton, D., Ed.; NATO Adv. Study Institute: in press. 129. Tirtowidjojo, M.; Pollard, R. J. Cryst. Growth 1986, 77, 200-206. 130. Coates, C. E . ; Green, M. L . H . ; Wabb, K. Organometallic Compounds; Me­ thuen: London, 1967; p 319. 131. Kuech, T. F.; Veuhoff, E . ; Kuan, T. S.; Deline, V.; Potemski, R. J. Cryst. Growth 1986, 77, 257. 132. Lum, R. M.; Klingert, Κ. K.; Lamount, M. G. J. Cryst. Growth 1988, 89, 137. 133. Bhat, R.; Koza, M.A.; Skromme, B. J. Appl. Phys. Lett. 1987, 50, 1194-1196. 134. Speckman, D. M.; Wendt, J. P. Appl. Phys. Lett. 1987, 50, 676-678. 135. Chen, C. H . ; Larsen, C. Α.; Stringfellow, G. B. Appl. Phys. Lett. 1987, 50, 218-220. 136. Lum, R. M.; Klingert, Κ. K. Appl Phys. Lett. 1987, 50, 284-286. 137. Lee, P. W.; Omstead, T. R.; McKenna, D. W.; Jensen, K. F. J . Cryst. Growth 1988, 134-142. 138. Omstead, T. R.; Vand Sickle, P.; Lee, P. W.; Jensen, K. F. J. Cryst. Growth 1988, 20-28. 139. Robinson, P. J.; Holbrook, K. A. Unimolecular Reactions; Wiley: London, 1972. 140. Meyerson, B. S.; Jasinski, J. M. J. Appl. Phys. 1987, 61, 785-787. 141. Troe, J. J . Phys. Chem. 1979, 83, 114-126. 142. Roenigk, K. F.; Jensen, K. F.; Carr, R. W. J. Phys. Chem. 1987, 91, 5732-5739; 1988, 92, 4254. 143. Joyce, Β. Α.; Bradley, R. R. Philos. Mag. 1966, 15, 1167-1187. 144. Henderson, R. C.; Helm, R. F. Surf. Sci. 1972, 30, 310-334. 145. Farrow, R. F. C. J. Electrochem. Soc. 1974, 121, 899-907. 146. Farnaam, M. J.; Orlander, D. R. Surf. Sci. 1984, 145, 390-406.

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

5.

JENSEN

Chemical Vapor Deposition

261

147. Buss, R. F.; Ho, P.; Breiland, W. G.; Coltrin, M. E. J. Appl. Phys. 1988, 63, 2808-2819. 148. Gates, S. M.; Scott, Β. Α.; Beach, D. B.; Imbitil, R.; Demuth, J. C. J. Vac. Sci. Technol., A 1987, 5, 628-630. 149. Meyerson, B. S., Scott, Β. Α.; Tsui, R. Chemtronics 1987, 1, 155-161. 150. Donahue, T. J.; Reif, R. J. Electrochem. Soc. 1986, 133, 1691-1697. 151. Chang, C. A. J. Electrochem. Soc. 1976, 123, 1245-1247. 152. Meyerson, B. S.; Yu, M. L. J. Electrochem. Soc. 1984, 131, 2366-2368. 153. Yu, M. L.; Vitkavage, D. J.; Meyerson, B. S. J. Appl. Phys. 1986, 59, 4032-4037. 154. Schyler, D. J.: Ring, M. A. J. Organomet. Chem. 1976, 114, 9-19. 155. Reep, D. H.; Ghandi, S. K. J. Electrochem. Soc. 1983, 130, 675-680. 156. Prutz, N.; Veuhoff, E.; Heinecke, H., Heyen, M.; Lruth, H.; Balk, P. J. Vac Sci. Technol., Β 1985, 3, 671-673. 157. Tsang, W. T. J. Electron. Mater. 1986, 15, 235-247. 158. Tischler, Μ. Α.; Bedair, S. M. J. Cryst. Growth 1986, 77, 89-94. 159. Nishizawa, J.; Abe, H.; Kurabayashi, T. J. Electrochem. Soc. 1985, 132, 1197-1200. 160. Robertson, Α., Jr.; Chiu, T. H.; Tsang, W. T.; Cunningham, J. E. J. Appl. Phys. 1988, 64, 877-887. 161. Squire, D. W.; Dulcey, C. S.; Lin, M. C. Chem. Phys. Lett. 1985, 116, 525-528. 162. Squire, D. W.; Dulcey, C. S.; Lin, M. C. J. Vac. Sci. Technol., Β 1985, 3, 1513-1519. 163. Squire, D. W.; Dulcey, C. S.; Lin, M. C. Chem. Phys. Lett. 1986, 131, 112-117. 164. Kuech, T. F.; Veuhoff, E. J. Cryst. Growth 1984, 68, 148-154. 165. Tirtowidjojo, M.; Pollard, R. In Proceedings, First Foundation Conference on Processing of Electronic Materials; Engineering Foundation: New York, 1987; pp 89-107. 166. Tirtowidjojo, M.; Pollard, R. J. Cryst. Growth 1988, 93, 108-115. 167. Roenigk, K. F.; Jensen, K. F. J. Electrochem. Soc. 1987, 134, 1777-785. 168. Rosenberger, F. In Proceedings, Tenth International Conference on CVD; Cul­ len, G. W., Ed.; Electrochemical Society: Pennington, NJ, 1987; pp 11-22. 169. Fraas, L. M.; McLeod, P. S.; Partain, L. D.; Cape, J. A. J. Vac. Sci. Technol., Β 1986, 4, 22-28. 170. Middleman, S.; Yeckel, A. J. Electrochem. Soc. 1986, 133, 1951-1956. 171. Wang, C. Α.; Groves, S. H.; Palmateer, S. C.; Weybourne, D. W.; Brown, R. A. J. Cryst. Growth 1986, 77, 139-143. 172. Takahashi, R.; Koza, Y.; Sugawara, K. J. Electrochem. Soc. 1972, 119, 1406-1412. 173. Wahl, G. Thin Solid Films 1977, 40, 13-26. 174. Stock, L.; Richter, W. J. Cryst. Growth 1986, 77, 144-150. 175. Giling, L. J. J. Electrochem. Soc. 1982, 129, 634-643. 176. Williams, J. E.; Peterson, R. W. J. Cryst. Growth 1986, 77, 128-135. 177. Talbot, L.; Cheng, R. K.; Schefer, R. W.; Willis, D. R. J. Fluid Mech. 1980, 101, 737-758. 178. Eversteyn, F. C.; Severin, P. J. W.; Van den Brekel, C. H. J.; Peek, H. L. J. Electrochem. Soc. 1970, 117, 925-931. 179. Jensen, K. F.; Fotiadis, D. I.; Moffat, H. K.; Einset, E. O.; Kremer, A. M.; McKenna, D. R. In Interdisciplinary Issues in Materials and Manufacturing; Samanta, S. K., Komanduri, K., McMeeking, R., Chen, M. M., Tseng, Α., Eds.; American Society of Mechanical Engineers: New York, 1987; pp 565-586. 180. Gage, K. S.; Reid, W. H. J. Fluid Mech. 1968, 33, 21-32.

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

262

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

181. Platten, J. K.; Legros, J. C. Convection in Liquids, Springer-Verlag: New York, 1984; Chapter 8. 182. Luijkx, J.-M.; Platten, J. K.; Legros, J. C. Int. J. Heat Mass Transfer 1981, 24, 1287-1291. 183. Evans, G.; Greif, R., submitted for publication in Int. J. Heat Mass Transfer. 184. Westphal, G. H.; Shaw, D. H.; Hartzell, R. A. J. Cryst. Growth 1982, 56, 324-331. 185. Chiu, K. C.; Ouazzani, J.; Rosenberger, F. Int. J. Heat Mass Transfer 1987, 30, 1655-1662. 186. Visser, Ε. P.; Klein, C. R.; Govers, C. A. M.; Hoogendorn, C. J.; Giling, L. J., submitted for publication in J. Cryst. Growth. 187. van Opdorp, C.; Leys, M. R. J. Cryst. Growth 1987, 84, 271-288. 188. Jackson, C. P.; Winters, Κ. H. Int. J. Num. Methods Fluids 1984, 4, 127-145. 189. Moffat, Η. K.; Jensen, K. F. J. Cryst. Growth 1986, 77, 108-119. 190. Busse, F. S. Rep. Prog. Phys. 1978, 41, 1929-1967. 191. van de Ven, J.; Rutten, G. J. M.; Raaymakers, M. J.; Giling, L. J. J. Cryst. Growth 1986, 76, 352-372. 192. Einset, E. O.; Fotiadis, D. I.; Jensen, K. F., submitted for publication in J. Cryst. Growth. 193. Fotiadis, D. I.; Boekholt, M.; Jensen, K. F.; Richter, W., submitted for pub­ lication in J. Cryst. Growth. 194. Richter, W.; Hünermann, L. Chemtronics 1987, 2, 175-182. 195. Jensen, K. F.; Fotiadis, D. I.; McKenna, D. R.; Moffat, H. K. In Supercomputer Research in Chemistry and Chemical Engineering; Jensen, K. F.; Truhlar, D. G., Eds.; ACS Symposium Series 353; American Chemical Society: Washington, DC, 1987, pp 353-376. 196. Kusumoto, Y.; Hayashi, T.; Komiya, S. Jpn. J. Appl. Phys. 1985, 24, 620-625. 197. Jensen, K. F.; Fotiadis, D. I.; Lee, P. W.; McKenna, D. R.; Moffat, H. K. Soc. Photo-Opt. Instrum. Eng. Proc. 1987, 796, 178-190. 198. Patnaik, S.; Brown, R. Α.; Wang, C., submitted for publication in J. Cryst. Growth. 199. Taylor, G. I. Proc. Roy. Soc. (London), A 1953, 219, 186-203. 200. Aris, R. Proc. Roy. Soc. (London), A 1956, 235, 67-77. 201. Thrush, E. J.; Whiteaway, G.; Wale-Evans, G.; Wright, D. R.; Cullis, A. G. J. Cryst. Growth 1984, 68, 412-421. 202. Fotiadis, D. I.; Kieda, S.; Jensen, K. F., submitted for publication in J. Cryst. Growth. 203. Arnado, P. M.; Brown, R. A. J. Cryst. Growth 1987, 80, 155-190. 204. McFadden, G. B.; Rehm, R. G.; Coriell, S. R.; Chuck, W.; Morrish, K. A. Mettal. Trans., A 1984, 15, 2125-2137. 205. Kisker, D. W.; McKenna, D. R.; Jensen, K. F. Mater. Lett. 1988, 6, 123-128. 206. McKenna, D. R.; Jensen, K. F.; Kisker, D. W., submitted for publication in J. Cryst. Growth. 207. Palmateer, S. C.; Groves, S. H.; Wang, C. Α.; Weybourne, D. W.; Brown, R. A. J. Cryst. Growth 1987, 83, 202-210. 208. Jenkinson, J. P.; Pollard, R. J. Electrochem. Soc. 1984, 131, 2911-2917. 209. Bird, R. B.; Stewart, W. E.; Lightfoot, Ε. N. Transport Phenomena; Wiley: New York, 1960. 210. Coffee, T. P.; Meimerl, J. M. Combust. Flame 1981, 43, 273-289. 211. Dixon-Lewis, G. In Combustion Chemistry; Gardiner, W. C., Jr., Ed.; Sprin­ ger-Verlag: New York, 1984, pp 21-126. 212. Jensen, K. F. Chem. Eng. Sci. 1987, 42, 923-958. 213. Jensen, K. F.; Graves, D. B. J. Electrochem. Soc. 1983, 130, 1950-1957. In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

5.

JENSEN

Chemical Vapor Deposition

263

214. Aris, R. The Mathematical Theory of Diffusion and Reaction in Permeable Catalysts; Clarendon: Oxford, Vol. 1. 215. Roenigk, K. F. Ph.D. Thesis, University of Minnesota, 1987. 216. Ikegawa, M.; Kobayashi, J. In Proceedings, 7th Symposium on Plasma Pro­ cessing; Electrochemical Society: Pennington, NJ, 1988. 217. Meyerson, B. S.; Olbricht, B. S. J. Electrochem. Soc. 1984, 131, 2361-365. 218. Purnell, J. H . Walsh, R. Proc. Roy. Soc. (London), A 1966, 293, 543-561. 219. Jensen, K. F.; Hitchman, M. L.; Ahmed Α., In Proceedings, Fifth European Conference on CVD; University of Uppsala: Uppsala, Sweden, 1985, pp ;

Downloaded by PRINCETON UNIV on November 11, 2014 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch005

144-151.

220. Yeckle, Α., Middleman, S. J. Electrochem. Soc. 1987, 134, 1275-1281. 221. Cussler, E. L. Diffusion; Cambridge University Press: Cambridge, 1984. 222. Schlichting, H. Boundary Layer Theory, McGraw-Hill: New York, 1979. 223. Pollard, R.; Newman, J. J. Electrochem. Soc. 1980, 127, 744-752. 224. Michaelidis, M.; Pollard, R. J. Electrochem. Soc. 1984, 131, 861-868. 225. Kee, R. J.; Evans, G. H.; Coltrin, M. E. In Supercomputer Research in Chem­ istry and Chemical Engineering; Jensen, K. F.; Truhlar, D. G., Eds.; ACS Symposium Series 353; American Chemical Society: Washington, DC, 1987; pp 334-352.

226.

Houtman, C.; Graves, D. B; Jensen, K. F. J. Electrochem. Soc. 1986, 133, 961-970.

227.

Wahl, G.; Schmaderer, F.; Huber, R.; Weber, R. In Proceedings, Tenth In­ ternational Conference on CVD; Cullen, G. W., Ed.; Electrochemical Society: Pennington, NJ, 1987; pp 42-52.

228.

Patnaik, S.; Brown, R. A. J. Electrochem. Soc. 1987, 135, 697-706.

229. Lax, M. J. Appl. Phys. 1977, 48, 3919-3924.

230. 231. 232. 233.

Lax, M. Appl. Phys. Lett. 1978, 33, 786-788. Skouby, D.; Jensen, K. F. J. Appl. Phys. 1988, 63, 154-164. Skouby, D.; Jensen, K. F. Proc. Mat. Res. Soc. 1988, 101, 107-112. Gross, M. E.; Fisanick, G. J.; Gallagher, P. K.; Schnoes, K. J.; Katzir, A. J. Appl. Phys. 1985, 57, 1139-1142.

234. 235.

Gross, M. E.; Applebaum, Α.; Schnoes, K. J. J. Appl. Phys. 1986, 60, 529-533. Price, P. E., Jr.; Jensen, K. F. In Laser and Particle Beam Chemical Processes on Surfaces, Johnson, A. W.; Loper, G. L.; Sigmon, T. W., Eds.; Proc. Mat. Res. Soc. 129, paper B14.1. 236. Spadoni, G.; Stramigioli, C.; Santarelli, P. Chem. Eng. Sci. 1980, 35, 925-931. 237. Alfano, R. L. ; Romero, L.; Cassano, A. E. Chem. Eng. Sci. 1986, 41, 421-44, 1137-1154.

RECEIVED for review December 30, 1987. ACCEPTED revised manuscript November 16, 1988.

In Microelectronics Processing; Hess, D., et al.; Advances in Chemistry; American Chemical Society: Washington, DC, 1989.