Molecular Glass Resists Based on 9,9′-Spirobifluorene Derivatives

Feb 19, 2019 - Molecular Glass Resists Based on 9,9′-Spirobifluorene Derivatives: Pendant Effect and Comprehensive Evaluation in Extreme Ultraviolet...
0 downloads 0 Views 592KB Size
Subscriber access provided by WEBSTER UNIV

Article

Molecular Glass Resists Based on 9,9’-Spirobifluorene Derivatives: Pendant Effect and Comprehensive Evaluation in EUV Lithography Jinping Chen, Qingshan Hao, Shuangqing Wang, Shayu Li, Tianjun Yu, Yi Zeng, Jun Zhao, Shumin Yang, Yanqing Wu, Chaofan Xue, Guoqiang Yang, and Yi Li ACS Appl. Polym. Mater., Just Accepted Manuscript • DOI: 10.1021/acsapm.8b00235 • Publication Date (Web): 19 Feb 2019 Downloaded from http://pubs.acs.org on February 23, 2019

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

Molecular Glass Resists Based on 9,9’-Spirobifluorene Derivatives: Pendant Effect and Comprehensive Evaluation in EUV Lithography *Jinping Chen†, Qingshan Hao†, Shuangqing Wang‡, Shayu Li‡, Tianjun Yu†, Yi Zeng†§, Jun Zhao¶,

Shumin Yang¶, Yanqing Wu¶, Chaofan Xue¶, *Guoqiang Yang‡§and *Yi Li†§ †

Key Laboratory of Photochemical Conversion and Optoelectronic Materials, Technical

Institute of Physics and Chemistry, Chinese Academy of Sciences, Beijing 100190, China ‡

Beijing National Laboratory for Molecular Sciences (BNLMS), Key laboratory of

Photochemistry, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190, China ¶Shanghai

Synchrotron Radiation Facility, Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201204, China §University

of Chinese Academy of Sciences, Beijing 100039, China

ABSTRACT: A series of molecular glass compounds (SP-BOC, SP-AD and SP-BU) based on 9,9’spirobifluorene backbone with different kinds of pendant groups (t-butyloxycarbonyl, adamantyl ester and t-butyl ester groups) were synthesized. The thermal analysis of the compounds indicated that no apparent glass transition temperature (Tg) was observed before the onset of the thermal decomposition temperatures (Td) up to 150 ℃. The good thermal resistance suggests that they can ACS Paragon Plus Environment

1

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 28

satisfy the lithography process and are candidates for photoresist materials. They were used as positive photoresists by mixing with minor components of photoacid generators and other additives, respectively. The amorphous films on silicon substrate were obtained by spin-coating of the photoresists. The extreme ultraviolet (EUV) performance on the films were evaluated by using soft X-ray interference lithography. SP-BOC resist achieves most excellent patterning capability down to 22 nm lines with a line-edge roughness (LER) of 3.3 nm. The outgassing amounts (Ns) of SP-BOC, SPAD and SP-BU resists are 3.1×1015, 2.3×1014 and 2.0×1014 molecule/cm2, respectively, at exposure dose of ~20mJ/cm2. The results of etch resistance are in the order of SP-AD (0.5 nm/s) > SP-BU (0.8 nm/s) > PMMA (1.1 nm/s) > SP-BOC (1.6 nm/s) > Si3N4 (1.8nm/s). All the results indicate a significant pendant effect on pattern ability, etching durability and outgassing for SP resists. This study will help us to understand the relationship between the pendant structure and the EUV lithography, and supply useful guidelines for designing molecular resists.

Keywords: Spirobifluorene derivatives; Molecular glass resists; EUV lithography; Pendant effect; Pattern ability Introduction The development in semiconductor industry is constantly focused on producing smaller feature size to meet expectations set by the Moore’s law. In the past 50 years, the semiconductor device nodes1, 2 have decreased from 10 m to 10 nm. Recently, leading semiconductor corporations such as Samsung and TSMC have accomplished the fabrication of 7nm node. Samsung is the first foundry to put extreme ultraviolet (EUV, 13.5nm) lithography into production at 7nm, and TSMC also plans to insert EUV lithography in its second version of 7nm node in the early 2019. It is obvious that EUV lithography is thought to be the most promising next generation lithography technology for sub-10-nm node

ACS Paragon Plus Environment

2

Page 3 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

microelectronic device manufacturing. Accordingly, the development of high-quality EUV patternable resist materials is one of the most critical issues in the EUV lithography.3, 4 In recent years, it is still a great challenge to develop resist material fulfilling all the requirements for EUV lithography, which is usually expected for EUV resists with resolution (R) of the pattern less than 22nm, sensitivity (S) under EUV exposure 10-15mJ/cm2, and line edge roughness (LER) line less than 1.8nm.5 However, it has been demonstrated that there is a trade-off relationship among sensitivity, resolution, and LER of resist patterns.6-8 How to simultaneously satisfy the trade-off relationship is a major issue for EUV resist materials. Chemically amplified resist (CAR) is now the predominant resist used in photolithography for semiconductor device manufacturing due to its high sensitivity. In the process of photoacid amplification, the photoacid generation efficiency and the diffusion of photoacid in resist films are key factors to sensitivity and line edge roughness (LER) for CAR systems. Quantitative methods for the measurement of photoacid generation 9 and diffusion10-12 have been developed. Up to now, different materials have been investigated as EUV resists materials. The conventional polymer based CAR is thought difficult to meet the strict demands in EUV lithography. The large size and polydispersity of polymeric materials can cause undesirable effects such as limited resolution and inferior LER. Recently, Fujii et al investigated polymer based CAR in EUV lithography and resolved 12nm half pitch (HP) at 36.1mJ/cm2 and showed LWR 3.9nm at 15nm HP.13 Non-CAR polymer materials are expected for high resolution and low LER due to direct scissions of the polymer backbone by irradiation. But the poor efficiency of EUV-induced chain scission results in low sensitivity.14, 15 Molecular glass (MG) resists are a new type of patterning material that has gained considerable attention over the past decade.16-18 MGs have monodisperse building blocks in smaller dimensions, which is beneficial for achieving high resolution and low line edge/width roughness (LER/LWR) patterning. The repeatable structure with well-defined molecular weight and stereochemistry allows a precise synthetic material.19, 20 Thus, MG resists are considered to be capable of higher resolution and low LER than traditional polymer resists. Combined with the concept of CAR, MG resists can be expected to be a breakthrough in achieving high sensitivity, resolution and low LER. Up to now, several different materials ACS Paragon Plus Environment

3

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 28

such as calixarene derivatives,21 polyphenols,22-24 Noria molecule based on calyx[4]resorcinarene,25-29 fullerene derivatives30, 31 have been investigated as MG resists in EUV lithography. A novel strategy of binding molecule with photoacid to form single-component molecular glass resists is a promising method for the development of resist materials with over all excellent performance. We recently developed a novel MG resist based on bisphenol A for EUV lithography, achieving high resolution and low LER.35 All the studies have suggested that molecular structures as well pendant groups are important in lithographic performance.36-38 However, structures of MGs fulfilling all the requirements of the harsh industrial manufacture remain limited, and the pendant effect of MGs on its lithographic behavior has not yet been well understood. The 9, 9’-spirobifluorene, derived from the corresponding biphenyl compounds, has a rigid and nearly orthogonal conformation, which enables the facile formation of amorphous films. Furthermore, it is amendable to modifications, which allows tuning its glass transition temperature by introduction of suitable substitutes. Up to now, 9,9’-spirobifluorene derivatives have been extensively studied in the organic optoelectronics,39-41 exhibiting excellent thermal properties and facile solid film forming characteristic. We anticipated that it would also be a good candidate for MG resist in the EUV lithography. Herein, a series of MGs based on 9,9’-spirobifluorene derivatives with different pendant groups (SPBOC, SP-AD and SP-BU) were synthesized, as shown in Figure 1. They were used as photoresists by mixing with minor components of photoacid generators and other additives, respectively. The lithographic performances of these new MG resists were extensively evaluated by using soft X-ray interference lithography. The pendant modification of SP resists resulted in a significant change in pattern ability, etch durability and outgassing. The best performance of SP-BOC resist showed excellent patterning capability down to 22 nm lines with a line-edge roughness (LER) of 3.3 nm.

ACS Paragon Plus Environment

4

Page 5 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials RO RO

OR R=

OR

O

SP-BOC O O

R=

O

SP-AD

OR

RO RO

OR

R=

O

SP-BU O

Figure 1. Structures of the MGs. Results and Discussion Synthesis of the MGs. The procedure for the synthesis of the MGs was shown in Schemes S1. The Suzuki-Miyaura coupling reaction of 2,7,2’,7’-tetrabromo-9,9’-spirobifluorene (1)42,

43

with 3,4-

dimethoxyphenylboronic acid (2) in the presence of Pd(OAc)2 as catalyst in THF and water at 60 ℃ afforded polymethoxy substituted 9,9’-spirobifluorene derivative (3) in 78% yield. Then, treatment of (3) with BBr3 at -78 ℃ furnished the corresponding polyhydroxy derivative (4) quantitatively, which was finally protected with t-butyloxycarbonyl, adamantyl ester and t-butyl ester groups, to get the MGs SPBOC, SP-AD and SP-BU, respectively. The 1H NMR spectra of the MGs SP-BOC, SP-AD and SP-BU in CDCl3 are depicted in Figure 2. All of them exhibit clearly the protons of spirobifluorene in the low fields. The peaks at 4.5–4.7 ppm are assigned to the methylene protons in the spectra of SP-AD and SP-BU, indicating the introduction of the BU and AD pendants. The degrees of introduction of pendants are calculated from the integration ratios of aromatic protons at 6.5–8.0 ppm to alkyl protons at 1.2–1.5 ppm or methylene protons at 4.5–4.7ppm, and are 100%. The formation of MGs was also confirmed by MALDI-TOF or ESI-TOF mass spectra (Supporting Information, Figure S1). The highresolution ESI-TOF mass spectra show their ion peaks at m/z=1567.6644 (calcd 1567.6669 [M+NH4+]) and m/z=1684.7443 (calcd 1684.7475 [M+Na+]) for SP-BOC and SP-BU, respectively. The peaks of SPAD in MALDI-TOF mass spectrum are found at 2394.8, 2247.0, 2099.6, 1953.5, 1807.0, 1657.1, 1456.3, ACS Paragon Plus Environment

5

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 28

1266.2 and 1234.1, which is consistent with the theoretical molecular weight of SP-AD and the fragment ions ([M–n•AD], n = 0~8).

3

SP-BOC

2

SP-AD

1

SP-BU

8.5

8.0

7.5

7.0

6.5

6.0

5.5

5.0

4.5 4.0 f1 (ppm)

3.5

3.0

2.5

2.0

1.5

1.0

0.5

0.0

Figure 2. 1H NMR spectra of the MGs. Physical properties of the MGs. Solubility is one of the important requirements for resist materials. The MGs of SP-BOC, SP-AD and SP-BU show good solubility in common organic solvents such as acetone, ethyl acetate, N,N-dimethylformamide (DMF), tetrahydrofuran (THF), ethylene glycol monoethyl ether (PGMEA) and cyclohexanone, while they are almost insoluble in methanol, ethanol, water or aqueous base. This characteristic of solubility makes them suitable for application as positive resist materials. High Tg and good thermal stability are prerequisites for their use in patterning applications. The thermal behaviors of SP-BOC, SP-AD and SP-BU were investigated by thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC). As an example, the TGA and DSC curves of SPBOC are shown in Figure 3. It exhibits high thermal resistance and shows a one-stage decomposition process above 150 ℃ with an overall weight loss of 60%, which approximated to the weight percentages ACS Paragon Plus Environment

6

Page 7 of 28

of pendant Boc groups. Therefore, we speculated that the weight losses corresponded to the decomposition of pendant Boc groups. DSC measurements show no apparent Tg before the onset of decomposition, indicating the thermal stability in solid state below 150 ℃. SP-AD and SP-BU show similar thermal behavior to that of SP-BOC, exhibiting a one stage decomposition process above 200 ℃ (Supporting Information, Figure S2). The decomposition temperature of SP-BOC is about 50 ℃ lower than that of SP-AD and SP-BU. This difference is assigned to the different covalent linkage of pendant structures. The thermal resistance of acetic ester group in SP-AD and SP-BU is higher than carbonic ester in BOC group, which is consistent with previous reports on protected Noria molecules.25, 44, 45 The TGA and DSC results confirm that all of them are fit for the two bake processes during the lithography. 100

1.0

90

0.5

Weight (%)

80 70

0.0

60

-0.5

50 40

-1.0

30

-1.5

20

-2.0

10 0

-2.5 60 80 100120140160 180200220240 260280300

Temperature

Temperature Different (oC)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

oC)

(

Figure 3. TG and DSC curves of SP-BOC. The film-forming ability of SP-BOC, SP-AD and SP-BU was examined by spin coating their solution of PGMEA (c = 30mg/mL) on a silicon wafer. All of them show good film-forming ability. The film thermal resistance is evaluated by measuring the film thickness change when baking at different temperature. The film thickness difference is defined as the ratio of the thickness change to the initial thickness. Figure 4 shows the film changes of SP-BOC at different temperatures. The thickness of the film decreases from initial 80.0 nm to 78.4 nm after baking at 80 ℃ for 5 min, which is attributed to the volatilization of the solvent. No change is observed when the baking temperature continue increasing to ACS Paragon Plus Environment

7

ACS Applied Polymer Materials

120 ℃, indicating the film is hard enough below 120 ℃. The thickness begins to decrease drastically above 140 ℃, which was attributed to the partly decomposition of the pendant Boc group. The result is in close agreement with the trend obtained by TGA measurement. SP-AD and SP-BU films show similar thermal resistance to SP-BOC film with the changing temperature about 140 ℃. Film thickness difference(%)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 28

8

6

4

2

0 70

80

90

100

110

120

130

Temperature (oC)

140

150

Figure 4. Thermal properties of the SP-BOC film spin-coated onto a silica wafer. Patterning properties of the MG resists in EUV Lithography. Interference lithography is an effective method for EUV resist evaluation, providing a platform for patterning at high resolutions.46,

47

Lithographic performances and imaging experiments of the SP-BOC resist were examined under the general procedure (Experiment Section) at Shanghai Synchrotron Radiation Facility (SSRF). The postexposure baking (PEB) process was set at 80 ℃ for 30s. Figure 5 shows the high-resolution SEM images of the EUV lithography patterns of the SP-BOC resist at different exposure doses, together with their line width (LW) and line-edge roughness (LER) values. The pattern period is setting at 140nm, which is half of the diffraction grating’s period (280nm). It is demonstrated that the resist materials achieve excellent patterning capability down to 22 nm lines. At lower energy dose (80mJ/cm2), simple line/space patterns about 1:1 with a half pitch of 68nm can be observed. With the increasing of the exposure doses from 80mJ/cm2 to 290mJ/cm2, the line widths decrease from 68nm to 22nm. The narrowing of the lines results from the acid diffusion of the exposed area to the unexposed area. Previous ACS Paragon Plus Environment

8

Page 9 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

reports suggested that the LER would increase obvious as the line width decrease for the chemically amplified resists (CARs).48 However, in the case of SP-BOC resist, the LER of the dense lines remains low in the range 2.3–3.3 nm. It suggested that the SP-BOC molecular resists had the potential to produce higher resolution patterns below 22nm. It should be noted that the exposure dose is the overall energy density reaching to the diffraction grating. The accurate sensitivity of the resists should be much higher than the calculated overall dose considering the low diffraction efficiency (< 6%) of the grating. We estimate the does sensitivity from the photon flux, the exposure time and area, and the diffraction efficiency of gratings, giving sensitivities about 5~17 mJ/cm2 for 68~22nm patterns, which is comparable to the demands of semiconductor industry (about 10-15 mJ/cm2) for EUV resists. Compared with the commercial PMMA resist, the sensitivity of SP-BOC resists increased ~10 times at the condition of obtaining same critical dimensions (CDs) by using the same interference patterning system.

ACS Paragon Plus Environment

9

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 28

Figure 5. High-resolution SEM images of line-space patterns (top view) for SP-BOC resist at various exposure doses, with line width (LW) and line-edge roughness (LER) values. It was performed at SSRF with grating period of 280nm and the pattern period of 140nm. The dose is the overall energy density reaching to the diffraction grating. To evaluate the pattern profile and line shape, the cross-section SEM of the lithographic patterns is also presented (Figure 6b). A sine-curve topping is apparent, which is the characteristic property of interference lithography for chemically amplified system.49,

50

The CDs, the resolvable distance

between lines, and the almost 1:1 line/space patterns (Figure 6a) are in complete agreement with the results from the cross-section, indicating that the SP-BOC resist is capable of accurate pattern transfer. The thickness of the dense lines is about 70nm with aspect ratio of 1:1. The line thickness is 15nm smaller than that of the film before exposure and development. The loss of the top resist might attribute to incoherently related intensity distribution of the interference beam, which is above the threshold value of SP-BOC resist.47 Non-uniform reaction in molecular resist thin films is another possible reason for the top-loss of resist.51 As the exposure dose increase, the widths and thicknesses of dense lines both decrease (Supporting Information, Figure S3), further confirming the acid diffusion and the hypothesis of inhomogeneous beam distribution and non-uniform reaction.

ACS Paragon Plus Environment

10

Page 11 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

Figure 6. SEM images of the lines (a) and corresponding cross-section (b) patterns performed at SSRF, thickness of the dense lines is about 70nm. To confirm the patterning capability of forming 1:1 line/space patterns with smaller feature sizes, we further investigated the SP-BOC resist performances by using a series of diffraction gratings with smaller periods. Figure 7 shows the typical configurations performed with gratings of HPs 50, 35 and 25nm at the Swiss Light Source (SLS). It is possible to resolve a line/space pattern with CDs of 45, 35, and 25nm at HPs of 50, 35 and 25nm, respectively, at a dose equal to 150 mJ/cm2 (overall energy density reaching to the diffraction grating). The LER of the dense lines is in the range 2.0–2.8 nm, which is similar to the results performed at SSRF. All the experiments certificated the SP-BOC resist high resolution capability.

ACS Paragon Plus Environment

11

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 28

Figure 7. SEM images of the lines with half-pitch of 50nm, 35nm and 25nm performed at the SLS. We further examined the SP-AD and SP-BU resist performances with the general procedure. However, it is difficult to get anticipated line/space patterns after combinatorial optimization of the multistep process for the MG resists.52 Compared with SP-BOC resist, SP-AD and SP-BU resists need higher PEB temperatures of 100 and 130 ℃ to furnish the deprotection of their acid labile groups. The schematic deprotection for SP-BOC, SP-AD and SP-BU resist is shown in Figure S4 (Supporting Information). The higher PEB temperatures mean that higher thermal activation energy (Ea) for the deprotection of AD and BU pendants is necessary. For the SP-AD resist, only blurry line/space pattern with pitch of 140 nm is observed after PEB at 100 ℃ and development (Supporting Information Figure S5). And in the case of SP-BU resist, no pattern can be obtained after PEB at 130 ℃ and development. The significant difference for pattern ability and PEB temperature may result from the different molecular structures. Although the only difference between SP-BOC and SP-BU (or SP-AD) structures is CH2 groups, it results in completely different mechanism for deprotection (Figure S4). The SP-BOC resists can produce clear patterns even without PEB procedure. It demonstrates that SPBOC is facile to deprotected by photoacid even at room temperature, affording the corresponding phenol compound (SP-OH), CO2 and isobutylene. In the case of SP-BU and SP-AD, it is expected to get corresponding carboxylic acid (SP-COOH) by releasing isobutylene or adamantane derivative. But temperatures for the deprotection of BU and AD groups are high above 130℃ and 100℃, respectively. ACS Paragon Plus Environment

12

Page 13 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

It suggests that the difficulty for deprotection are in the order of SP-BU > SP-AD > SP-BOC. To clarify the poor performance of SP-AD resists, we analyzed the SEM image carefully and found the contrast between line and space was not clear (Figure S5), suggesting much residual resist on the space. Thus, we speculate that the high degree of introduction of AD moieties may results in incompletely deprotection even at high PEB temperature, and subsequently makes the exposed region insoluble in tetramethylammonium hydroxide (TMAH) solution. That is failing to cause a switch of the solubility. Additionally, the fully protected SP derivatives by AD groups make the molecular resists completely hydrophobic, which results in poor adhesion to the substrate. The fractured film is also observed after exposure and development (Figure S6). In the case of SP-BU, another possible reason for the failing performance is the required high PEB temperature (130 ℃). It is almost same to the temperature of initiation of thermal degradation, which is unfavourable for high resolution lithography. Actually, the similar phenomenon was also observed for the noria molecular resist application in electron-beam (EB) and EUV lithography.25, 26, 44 The fully protected noria-AD and noria-BU resists both exhibit no pattern ability in lithography. While the partial protected noria with degree of 44% AD or 71% BU can give patterns with 26nm and 70nm resolution, respectively. The performance of SP resists, together with the previous reports, suggest that decreasing the degree of introduction of BU and AD moieties can improve the performance. The further evaluation of our MG resists with partial pendants is currently underway. Outgassing and etching durability of the MG resists. Outgassing of resists has drawn much attention due to its impact on throughput and damages to optics. Several studies have been reported that polymer resists with low thermal activation energy on deprotection are liable to outgassing.53, 54 Our previous work have revealed that the outgassing is primarily from the protecting groups and the

ACS Paragon Plus Environment

13

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 28

skeleton is stable almost without outgassing under the EUV exposure.55 Therefore, the effects of different acid labile pendant groups on outgassing of the MG resists is essential for the molecule design. We measured the outgassing amount (Ns) of SP-BOC, SP-AD and SP-BU resist comprised by the same amount of additives at exposure dose of ~20 mJ/cm2 (calculated from photon flux, exposure time and area), affording the values of 3.1×1015, 2.3×1014 and 2.0×1014 molecule/cm2, respectively. They all have the same skeleton structure of SP, but different pendant groups. Thus, the different outgassing amounts result from the acid amplified reactions of the pendants with EUV irradiation. The outgassing amounts of SP-AD and SP-BU resist are similar, but 10 times lower than that of SP-BOC. The comparative experiments of SP-BOC resists with or without PAG were performed. The outgassing amount of SP-BOC resists with PAG is much higher than that of SP-BOC resists without PAG. And the main component of the volatile species is CO2. That means direct decomposition of BOC group or photoacid generator by EUV light is not main reason for outgassing. The outgassing comes from the light-generated acid induced deprotection of BOC group during exposure step. Similar results were observed in previous reports on BOC decorated polymer (PHS-t-BOC) resist.56 It suggests that SP-BOC molecular resist with lower Ea can react with light-generated acid at room temperature and generate volatile species. In the case of SP-AD and SP-BU resists, although the same amount of photoacid was produced in the exposure, the deprotection is difficult to occur at room temperature due to the higher Ea for AD and BU groups. The higher PEB temperatures for SP-AD and SP-BU resists further confirmed the hypothesis. The results are consistent with previous study about the relationship between Ea and outgassing,54 suggesting that low Ea resist tend to release volatile species due to its high thermal reactivity even at room temperature. Etching durability is an important factor in resist performance. The fabrication of nano-device depends on the selectivity between the resist material and the substrate. The etching durability of molecular resists ACS Paragon Plus Environment

14

Page 15 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

is generally less than that of polymer resists. Therefore, it is crucial for molecular resists to have good etch resistance. The etching rate of the resists were evaluated using normal Si3N4 plasma etch process. The plasma conditions were set at a 20 sccm CHF3 gas flow, a 40 sccm Ar gas flow, and a RF power of 200 W. The pressure in the process chamber was 15 mTorr and the table temperature was 20 ℃. Si3N4 and PMMA film were used as reference materials. The results of etch resistance were in the order of SPAD (0.5nm/s) > SP-BU (0.8nm/s) > PMMA. (1.1nm/s) > SP-BOC (1.6nm/s) > Si3N4 (1.8nm/s). It demonstrates that the AD group greatly increase the etch resistance. The etching durability of SP-AD and SP-BU is much higher than that of polymer resists such as PMMA. While BOC group exhibits lower etching durability, which may be due to the lower thermal activation energy for the deprotection. The comprehensive evaluation data for the molecular resists are summarized in Table 1. Table 1. Comprehensive evaluation data for the molecular resists.

Resists

Pendants

In bulk(℃) Tg

Td

Solubility

PGMEA/TMAH

PAB a

PEB b

(℃)

(℃)

Patternin g Capability

Outgassing c (molecule/cm2)

Etching rates(nm/s)

SP-BOC

t-Boc

-

150

Yes/No

100

80

Good

3.1×1015

1.6

SP-AD

AD

-

200

Yes/No

100

100

Poor

2.3×1014

0.5

SP-BU

t-Bu

-

200

Yes/No

100

130

No

2.0×1014

0.8

a

The post-application bake (PAB) time was 180s. b The PEB time was 30s. c The expose dose was set at ~20mJ/cm2. Conclusions In summary, we have succeeded in preparing positive-tone chemically amplified molecular resists based on 9,9’-spirobifluorene derivatives with different kinds of pendants (SP-BOC, SP-AD and SPBU). The effect of the pendants has been studied in detail and their resist performances in EUV lithography were evaluated comprehensively by using soft X-ray interference lithography beamline. It indicated that the pendant modification of SP resists resulted in a significant change in pattern ability, etch durability and outgassing. SP-BOC resist achieved excellent patterning capability down ACS Paragon Plus Environment

15

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 28

to 22 nm lines with a line-edge roughness (LER) of 3.3 nm. Although SP-AD and SP-BU resists produced blurry line/space patterns, they showed much low outgassing compared with SP-BOC. Their etch durability were superior to SP-BOC and conventional PMMA resist materials. The further investigation of partially-protected SP MG resist with AD and BU pendants is in progress. This study attempts to understand the relationship between pendant structure and the pattern ability of molecular resists in EUV lithography. The understanding is important and will help us to establish a set of guidelines for designing EUV molecular resists. Experimental Section Instrumentation and materials: 1H NMR (400 MHz) spectra were obtained from a Bruker Avance Π400 spectrometer with tetramethylsilane as an internal standard. High resolution mass spectra (ESI-TOFMS) were measured on a Thermo Fisher Q-Exactive spectrometer. MALDI-TOF-MS spectra were measured by a Bruker BIFLEX III spectrometer. Thermogravimetric analysis (TGA) and differential scanning calorimeter (DSC) measurements were performed on a TA instrument at a heating rate of 10 ℃/min under a nitrogen flow of 20 mL/min. Cross-section scanning electron micrograph was taken on a Hitachi S4800 field emission scanning electron microscope (SEM). Resist films were prepared by spinning coating with a CEE200X coating machine. Resist film thickness was measured using a AST SE200-BM spectroscopic ellipsometer. The extreme ultraviolet (EUV) lithography patterns were evaluated by using soft X-ray interference lithography beamline (BL08U1B) on Shanghai Synchrotron Radiation Facility (SSRF) or XIL II Beamline of Swiss Light Source (SLS). The flux of EUV in SSRF for exposure was set as 3.5×1013 Photon•s-1. LERs were calculated from high-resolution SEM images. The analysis was conducted using image processing software. The images were subjected to contrast enhancement and sharpening for the improvement of the edge contrast. Preparative separations of compounds were performed by silica gel gravity column chromatography. Unless otherwise noted, materials obtained from commercial suppliers were used without further purification. ACS Paragon Plus Environment

16

Page 17 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

General procedure for the preparation of MG resists and imaging experiments. The MGs were dissolved in electronic grade propylene glycol methyl ether acetate (PGMEA) making a 30mg/ml solution. A commercially available photoacid generator (PAG), triphenylsulfonium perfluoro-1butanesulfonate (TPS-PFBS) (10% with respect to resist), and trioctylamine (5 wt % with respect to PAG) were added, and the resist solutions were filtered through a 0.22μm nylon66 syringe filter prior to spincoating on silicon wafers. Then, the solutions were spin coated (2000 rpm, 90s) onto a 2.5 in. HMDS primed silicon wafer leading to approximately 70~80 nm thick films. The film-coated wafers were subjected to a post-application bake (PAB) at 100°C for 180s, then were exposed to EUV by using soft X-ray interference lithography beamline. The area of interference was approximately 200×200 μm2. After exposure, they were baked and developed by dipping in an aqueous solution of tetramethylammonium hydroxide (TMAH) (2.38 wt%) at 25 ℃ for 60s and then rinsed in deionized water before drying. Synthesis of 3. The phosphine ligand 2-dicyclohexylphosphino-2,6-dimethoxybiphenyl (Sphos) (49.8 mg, 0.1 mmol, 0.2 eq) and Pb(OAc)2 (15.5mg, 0.05mmol, 0.1eq) were added to a 50-mL Schlenk flask, which was evacuated and purged with argon three times, and then charged with 5 mL dried THF. and the resulting solution was stirred under argon for 30 minutes at room temperature. To another 100-ml Schlenk flask was added 2, 7, 2’ ,7’-tetrabromo-9, 9’-spirobifluorene (1) (316.0mg, 0.5mmol, 1.0eq), 3, 4dimethoxyphenylboronic acid (2) (660.0mg, 2.5mmol, 5.0eq), which was evacuated and purged with argon three times, and then charged with THF (3.0 mL), aq 5M NaOH (1 mL) and the catalyst solution prepared above with syringes. The mixture was stirred at reflux overnight under argon. The solution was cooled to room temperature and extracted with CH2Cl2/H2O for 3 times. The combined organic layer was washed with water and brine, and dried over Na2SO4. The solvent was removed in vacuo and the crude product was purified by C200 silica gel chromatography with flow solution (CH2Cl2:ethyl acetate = 20:1) to give 3 as a white solid 338mg: yield 78%. 1H NMR (400 MHz, CDCl3) δ 7.92 (d, J = 7.9 Hz, 4H, spirofluorene), 7.60 (d, J = 7.9 Hz, 4H, benzene), 6.97 (d, J = 2.4 Hz, 12H, spirofluorene and benzene),

ACS Paragon Plus Environment

17

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 28

6.81 (d, J = 8.9 Hz, 4H, spirofluorene), 3.86 (s, 12H, methoxy), 3.84 (s, 12H, methoxy). MS (MALDITOF ): m/z = 860.8 (M +), calcd for (C57H48O8) m/z = 860.3 ([M]+). Synthesis of 4. Compound 3 (1.72g, 2.0mmol, 1.0eq) and 20 mL CH2Cl2 was added to a three-necked flask and cooled to -78 ℃ with a dry ice/acetone cooling bath. Then boron tribromide (7.5g, 30mmol, 15eq) was slowly introduced through a dropping funnel in 30min. After addition, the reaction mixture was stirred for 40 min at room temperature and quenched by slowly addition of 30 mL water to give white precipitate. The precipitate was filtered and washed with water and CH2Cl2 thoroughly to give the product 4 as white powder 1.4g. yield: 95%. 1H NMR (400 MHz, DMSO) δ 8.07 (d, J = 7.9 Hz, 4H, spirofluorene), 7.63 (d, J = 7.9 Hz, 4H, benzene), 6.79 (d, J = 20.3 Hz, 12H, spirofluorene and benzene), 6.69 (d, J = 8.2 Hz, 4H, spirofluorene). MS (MALDI-TOF ): m/z = 748.1 (M +), calcd for (C49H32O8) m/z = 748.8 ([M]+). Synthesis of SP-BOC. Compound 4 (749mg, 1.0mmol, 1.0eq), di-tert-butyl dicarbonate (5.89g, 24.0mmol, 24.0eq) and THF (20 mL) were added to a 100 mL three-necked flask. Then 4dimethylaminopyridine (DMAP, 12.2mg, 0.1mmol, 0.1eq) was added to the mixture. The resulting solution was stirred under argon overnight at room temperature. The reaction was quenched with water and extracted with CH2Cl2/H2O for 3 times. The combined organic layer was washed with brine, and dried over Na2SO4. The crude product was purified by C200 silica gel column chromatography with flow solution (CH2Cl2:ethyl acetate = 20:1) to give SP-BOC as a white solid 1.0 g: yield 65%. 1H NMR (400 MHz, CDCl3) δ 7.92 (d, J = 8.0 Hz, 4H, spirofluorene), 7.58 (d, J = 8.0 Hz, 4H), 7.30 (d, J = 7.4 Hz, 8H, benzene), 7.20 (d, J = 9.0 Hz, 4H, spirofluorene), 6.91 (s, 4H, spirofluorene), 1.54-1.49 (m, 18H, C(CH3)3). MS (ESI-TOF ): m/z = 1567.6644 (M+NH4+), calcd for (C89H100NO24) m/z = 1567.6669 [M+NH4+]. Synthesis of SP-AD. Compound 4 (3.0g, 4.0mmol, 1.0eq), 2-chloroacetyloxy-2-methyladamantane (10.3g, 42.0mmol, 10.0eq), tetrabutylammonium bromide (TBAB, 1.55 g, 4.8mmol, 1.2eq), anhydrous ACS Paragon Plus Environment

18

Page 19 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

K2CO3 (11.0g, 80 mmol, 20eq) and 1-Methyl-2-pyrrolidinone (NMP, 20 mL) were added to a 250 mL three-necked flask. The resulting mixture was stirred under argon at 80 ℃ for 5 hours. The solution was cooled to room temperature and extracted with ethyl acetate/H2O for 3 times. The combined organic layer was washed with brine, and dried over anhydrous Na2SO4. The crude product was purified by C200 silica gel column chromatography with flow solution (petroleum ether:ethyl acetate = 3:1) to give SP-AD as a white solid 8.7 g: yield 86%. 1H NMR (400 MHz, DMSO) δ 8.12 (d, J = 8.0 Hz, 4H, spirofluorene), 7.66 (d, J = 8.2 Hz, 4H, spirofluorene), 6.98 – 6.70 (m, 8H, spirofluorene, benzen), 4.71 (d, J = 23.0 Hz, 16H, methenyl), 2.21 – 1.18 (m, 136H). MS (MALDI-TOF ): m/z = 2394.8 (M +), calcd for (C153H176O24) m/z = 2397.2 ([M]+). Synthesis of SP-BU. Compound 4 (3.0g, 4.0mmol, 1.0eq), tert-butyl bromoacetate (8.4g, 40.0mmol, 10.0eq ), Tetrabutylammonium bromide (TBAB, 1.55 g, 4.8mmol, 1.2eq), anhydrous K2CO3 (6.6g, 48 mmol, 12eq) and 1-Methyl-2-pyrrolidinone (NMP, 20 mL) were added to a 250 mL three-necked flask. The resulting mixture was stirred under argon at 80 ℃ for 5 hours. The solution was cooled to room temperature and extracted with ethyl acetate/H2O for 3 times. The combined organic layer was washed with brine, and dried over anhydrous Na2SO4. The crude product was purified by C200 silica gel column chromatography with flow solution (petroleum ether:ethyl acetate = 2:1), followed by precipitation from n-hexane to give SP-BU as a white solid 5.2g: yield 80%. 1H NMR (400 MHz, DMSO) δ 7.89 (d, J = 8.0 Hz, 4H, spirofluorene), 7.56 (d, J = 8.0 Hz, 4H, spirofluorene), 6.99 (d, J = 8.0 Hz, 4H, benzene), 6.94 (s, 4H, spirofluorene), 6.89 (s, 4H, benzene), 6.79 (d, J = 8.0 Hz, 4H, benzene), 4.56 (s, 8H, methenyl), 4.54 (s, 8H, methenyl), 1.44(s, 36H, t-Bu), 1.37(s, 36H, t-Bu). MS (ESI-TOF ): m/z = 1684.7443 (M+Na+), calcd for (C97H112NaO24) m/z = 1684.7475 [M+Na+]. Supporting Information. MS spectra, TG and DSC curves, Schematic deprotection reaction, and SEM images. This material is available free of charge via the Internet at http://pubs.acs.org. ACS Paragon Plus Environment

19

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 28

Corresponding Authors [email protected]; [email protected]; [email protected] Notes The authors declare no competing financial interest. ACKNOWLEDGMENT Financial support from the Ministry of Science and Technology of the People's Republic of China Major Project (2018ZX02102005; 2011ZX02701) is gratefully acknowledged. Soft X-Ray Interference Lithography Beamline (BL08U1B) of Shanghai Synchrotron Radiation Facility (SSRF) and XIL II Beamline of Swiss Light Source (SLS) are also gratefully acknowledged for the EUV lithography investigation. The work at SSRF was partly supported by Science and Technology Commission of Shanghai Municipality (17JC1400802). J.C. acknowledges the support of the Youth Innovation Promotion Association, CAS. REFERENCES 1. Lin, B. Optical Lithography: Here Is Why. SPIE Press: Bellingham, 2010. 2. Schuegraf, K.; Abraham, M. C.; Brand, A.; Naik, M.; Thakur, R. IEEE J. Electron Devices Soc., 2013, 1, 66–75. 3. Li, L.; Liu, X.; Pal, S.; Wang, S. L.; Ober, C. K.; Giannelis, E. P. Extreme Ultraviolet Resist Materials for Sub-7 nm Patterning. Chem. Soc. Rev. 2017, 46, 4855-4866. 4. Robinson, A. P. G.; Lowson, R. A. Frontiers of Nanoscience: Materials and Processes for Next

Generation Lithography. Vol 11, Elsevier, 2016. ACS Paragon Plus Environment

20

Page 21 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

5. Thackeray, J. W. Materials Challenges for Sub-20-nm Lithography. J. Micro/Nanolith. MEMS MOEMS 2011, 10, 033009. 6. Van Steenwinckel, D.; Gronheid, R.; Lammers, J. H.; Myers, A. M.; Van Roey, F.; Willems, P. A Novel Method for Characterizing Resist Performance. Proc. SPIE 2007, 6519, 65190v. 7. Gallatin, G. M.; Naulleau, P.; Niakoula, D.; Brainard, R.; Hassanein, E.; Matyi, R.; Thackeray, J.; Spear, K.; Dean, K. Resolution, LER and Sensitivity Limitations of Photoresist Proc. SPIE 2008, 6921, 69211E. 8. Bristol, R. L. The tri-lateral Challenge of Resolution, Photospeed, and LER: Scaling Below 50nm?

Proc. SPIE 2007, 6519, 65190w. 9. Pohlers, G.; Scaiano, J. C.; Sinta, R. A Novel Photometric Method for the Determination of Photoacid Generation Efficiencies Using Benzothiazole and Xanthene Dyes as Acid Sensors. Chem. Mater. 1997, 9, 3222-3230. 10. Vogt, B. D.; Kang, S.; Prabhu, V. M.; Lin, E. K.; Satija, S. K.; Turnquest, K.; Wu, W. L. Measurements of the Reaction-Diffusion Front of Model Chemically Amplified Photoresists with Varying Photoacid Size. Macromolecules 2006, 39, 8311-8317. 11. Kozawa, T.; Santillan, J. J.; Itani, T. Acid Diffusion Length in Line-and-Space Resist Patterns Fabricated by Extreme Ultraviolet Lithography. Jpn. J. Appl. Phys. 2013, 52, 076501. 12. Prabhu, V. M.; Kang, S. H.; Sha, J.; Bonnesen, P. V.; Satija, S.; Wu, W. L.; Ober, C. K. Neutron Reflectivity Characterization of the Photoacid Reaction-Diffusion Latent and Developed Images of Molecular Resists for Extreme Ultraviolet Lithography. Langmuir 2012, 28, 7665-7678.

ACS Paragon Plus Environment

21

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 28

13. Fujii, T.; Matsumaru, S.; Yamada, T.; Komuro, Y.; Kawana, D.; Ohmori, K. Patterning Performance of Chemically Amplified Resist in EUV Lithography. Proc. SPIE 2016, 9776, 97760Y. 14. Auzelyte, V.; Dais, C.; Farquet, P.; Grutzmacher, D.; Heyderman, L. J.; Luo, F.; Olliges, S.; Padeste, C.; Sahoo, P. K.; Thomson, T.; Turchanin, A.; David, C.; Solak, H. H. Extreme Ultraviolet Interference Lithography at the Paul Scherrer Institut. J. Micro/Nanolith. MEMS MOEMS 2009, 8, 021204. 15. Van Steenbergen, J. F.; Ootsuka, N.; Buch, X.; Icard, B.; Sourd, C.; Constancias, C.; Dalzotto, B.; Pain, L. Ultimate Lithographic Performances of Advanced Resists CAR or Non-CAR Resist? Proc. SPIE 2012, 8323, 83232M. 16. Dai, J. Y.; Chang, S. W.; Hamad, A.; Yang, D.; Felix, N.; Ober, C. K. Molecular Glass Resists for High-Resolution Patterning. Chem. Mater. 2006, 18, 3404-3411. 17. De Silva, A.; Forman, D.; Ober, C. K. Molecular Glass Resists for EUV Lithography. Proc. SPIE 2006, 6153, U1505-U1514. 18. Bratton, D.; Ayothi, R.; Felix, N.; Cao, H.; Deng, H.; Ober, C. K. Molecular Glass Resists for Next Generation Lithography. Proc. SPIE 2006, 6153, U567-U575. 19. De Silva, A.; Felix, N. M.; Ober, C. K. Molecular Glass Resists as High-Resolution Patterning Materials. Adv. Mater. 2008, 20, 3355-3361. 20. Nishikuboand, T.; Kudo, H. Recent Development in Molecular Resists for Extreme Ultraviolet Lithography. J. Photopolym. Sci. Technol. 2011, 24, 9-18. 21. Oizumi, H.; Kumise, T.; Itani, T. Development of New Negative-Tone Molecular Resists Based on Calixarene for EUV Lithography. J. Photopolym. Sci. Technol. 2008, 21, 443-449. ACS Paragon Plus Environment

22

Page 23 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

22. De Silva, A.; Lee, J. K.; Andre, X.; Felix, N. M.; Cao, H. B.; Deng, H.; Ober, C. K. Study of the Structure-Properties Relationship of Phenolic Molecular Glass Resists for Next Generation Photolithography. Chem. Mater. 2008, 20, 1606-1613. 23. De Silva, A.; Ober, C. K. Hydroxyphenylbenzene Derivatives as Glass Forming Molecules for High Resolution Photoresists. J. Mater. Chem. 2008, 18, 1903-1910. 24. Oizumi, H.; Kumasaka, F.; Tanaka, Y.; Hirayama, T.; Shiono, D.; Hada, H.; Onodera, J.; Yamaguchi, A.; Nishiyama, I. Performance of Molecular Resist Based on Polyphenol in EUV Lithography. Microelectron. Eng. 2006, 83, 1107-1110. 25. Kudo, H.; Suyama, Y.; Oizumi, H.; Itani, T.; Nishikubo, T. Novel Extreme Ultraviolet (EUV)Resist Material Based on Noria (Water Wheel-Like Cyclic Oligomer). J. Mater. Chem. 2010, 20, 44454450. 26. Nishikubo, T.; Kudo, H.; Suyama, Y.; Oizumi, H.; Itani, T. Novel Noria (Water Wheel-Like Cyclic Oligomer) Derivative as a Chemically Amplified Extreme Ultraviolet (EUV)-Resist Material.

J. Photopolym. Sci. Technol. 2009, 22, 73-76. 27. Kudo, H.; Jinguji, M.; Nishikubo, T.; Oizumi, H.; Itani, T. Extreme Ultraviolet (EUV)-Resist Materials of Noria (Water Wheel-Like Cyclic Oligomer) Derivatives Containing Acetal Moieties. J.

Photopolym. Sci. Technol. 2010, 23, 657-664. 28. Kudo, H.; Niina, N.; Sato, T.; Oizumi, H.; Itani, T.; Miura, T.; Watanabe, T.; Kinoshita, H. Extreme Ultraviolet (EUV)-Resist Material Based on Noria (Water Wheel-Like Macrocycle) Derivatives with Pendant Alkoxyl and Adamantyl Ester Groups. J. Photopolym. Sci. Technol. 2012,

25, 587-592. ACS Paragon Plus Environment

23

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 28

29. Niina, N.; Kudo, H.; Maruyama, K.; Kai, T.; Shimokawa, T.; Oizumi, H.; Itani, T.; Nishikubo, T. Multicomponent Negative-Type Photoresist Based on Noria Analog with 12 Ethoxy Groups. Polym.

J. 2011, 43, 407-413. 30. Oizumi, H.; Matsunaga, K.; Kaneyama, K.; Santillan, J. J.; Shiraishi, G.; Itani, T. Performance of EUV Molecular Resists Based on Fullerene Derivatives. Proc. SPIE 2011, 7972, 797209 31. Frommhold, A.; Yang, D. X.; McClelland, A.; Xue, X.; Palmer, R. E.; Robinson, A. P. G. EUV Lithography Performance of Negative-Tone Chemically Amplified Fullerene Resist. Proc. SPIE 2013,

8682, 86820Q 32. Lawson, R. A.; Tolbert, L. M.; Henderson, C. L. Single-component Molecular Resists Containing Bound Photoacid Generator Functionality. J. Micro/Nanolith. MEMS MOEMS 2010, 9, 013015. 33. Lawson, R. A.; Tolbert, L. M.; Henderson, C. L. Single Component Molecular Resists Containing Bound Photoacid Generator Functionality. Proc SPIE 2009, 7273, 72733c 34. Lawson, R. A.; Lee, C. T.; Yueh, W.; Tolbert, L.; Henderson, C. L. Single Molecule Chemically Amplified Resists Based on Ionic and Non-ionic Pags. Proc SPIE 2008, 6923. 69230k. 35. Peng, X. M.; Wang, Y. F.; Xu, J.; Yuan, H.; Wang, L. Q.; Zhang, T.; Guo, X. D.; Wang, S. Q.; Li, Y.; Yang, G. Q. Molecular Glass Photoresists with High Resolution, Low LER, and High Sensitivity for EUV Lithography. Macromol. Mater. Eng. 2018, 303, 1700654. 36. Yamamoto, H.; Kudo, H.; Kozawa, T. Study on Resist Performance of Chemically Amplified Molecular Resists Based on Cyclic Oligomers. Microelectron. Eng. 2015, 133, 16-22.

ACS Paragon Plus Environment

24

Page 25 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

37. Sha, J.; Lee, J. K.; Kang, S. H.; Prabhu, V. M.; Soles, C. L.; Bonnesen, P. V.; Ober, C. K. Architectural Effects on Acid Reaction-Diffusion Kinetics in Molecular Glass Photoresists. Chem.

Mater. 2010, 22, 3093-3098. 38. De Silva, A.; Sundberg, L. K.; Ito, H.; Sooriyakumaran, R.; Allen, R. D.; Ober, C. K. A Fundamental Study on Dissolution Behavior of High-Resolution Molecular Glass Photoresists. Chem.

Mater. 2008, 20, 7292-7300. 39. Saragi, T. P. I.; Spehr, T.; Siebert, A.; Fuhrmann-Lieker, T.; Salbeck, J. Spiro Compounds for Organic Optoelectronics. Chem. Rev. 2007, 107, 1011-1065. 40. Wei, R. B.; Liu, Y.; Guo, J. J.; Liu, B.; Zhang, D. W. Progress of Electroluminescence Including Spiro Compounds of Fluorene and Anthracene. Chinese J. Org. Chem. 2008, 28, 390-397. 41. Polo, F.; Rizzo, F.; Veiga-Gutierrez, M.; De Cola, L.; Quici, S. Efficient Greenish Blue Electrochemiluminescence from Fluorene and Spirobifluorene Derivatives. J. Am. Chem. Soc. 2012,

134, 15402-15409. 42. Pei, J.; Ni, J.; Zhou, X. H.; Cao, X. Y.; Lai, Y. H. Head-to-Tail Regioregular OligothiopheneFunctionalized 9,9 '-Spirobifluorene Derivatives. 1. Synthesis. J. Org. Chem. 2002, 67, 4924-4936. 43. Pei, J.; Ni, J.; Zhou, X. H.; Cao, X. Y.; Lai, Y. H. Regioregular Head-to-Tail OligothiopheneFunctionalized 9,9'-Spirobifluorene Derivatives. 2. NMR Characterization, Thermal Behaviors, and Electrochemical Properties. J. Org. Chem. 2002, 67, 8104-8113.

ACS Paragon Plus Environment

25

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 28

44. Kudo, H.; Watanabe, D.; Nishikubo, T.; Maruyama, K.; Shimizu, D.; Kai, T.; Shimokawa, T.; Ober, C. K. A Novel Noria (Water-Wheel-Like Cyclic Oligomer) Derivative as a Chemically Amplified Electron-Beam Resist Material. J. Mater. Chem. 2008, 18, 3588-3592. 45. Tanaka, M.; Rastogi, A.; Kudo, H.; Watanabe, D.; Nishikubo, T.; Ober, C. K. Environmentally Friendly Patterning of Molecular Waterwheel (Noria) in Supercritical Carbon Dioxide. J. Mater. Chem. 2009, 19, 4622-4626. 46. Jang, J. H.; Ullal, C. K.; Maldovan, M.; Gorishnyy, T.; Kooi, S.; Koh, C. Y.; Thomas, E. L. 3D Micro- and Nanostructures via Interference Lithography. Adv. Funct. Mater. 2007, 17, 3027-3041. 47. Lu, C.; Lipson, R. H. Interference Lithography: A Powerful Tool for Fabricating Periodic Structures. Laser & Photonics Reviews 2010, 4, 568-580. 48. Kozawa, T.; Oizumi, H.; Itani, T.; Tagawa, S. Analysis of Dose-Pitch Matrices of Line Width and Edge Roughness of Chemically Amplified Fullerene Resist. Japan. J. Appl. Phy. 2011, 50, 126501. 49. Paivanranta, B.; Langner, A.; Kirk, E.; David, C.; Ekinci, Y. Sub-10 nm Patterning Using EUV Interference Lithography. Nanotechnology 2011, 22, 375302. 50. Mojarad, N.; Gobrecht, J.; Ekinci, Y. Interference Lithography at EUV and Soft X-Ray Wavelengths: Principles, Methods, and Applications. Microelectron. Eng. 2015, 143, 55-63. 51. Prabhu, V. M.; Kang, S. H.; Kline, R. J.; DeLongchamp, D. M.; Fischer, D. A.; Wu, W. L.; Satija, S. K.; Bonnesen, P. V.; Sha, J.; Ober, C. K. Characterization of the Non-Uniform Reaction in Chemically Amplified Calix[4]Resorcinarene Molecular Resist Thin Films. Aust. J. Chem. 2011, 64, 1065-1073. 52. Bauer, W. A. C.; Neuber, C.; Ober, C. K.; Schmidt, H. W. Combinatorial Optimization of a Molecular Glass Photoresist System for Electron Beam Lithography. Adv. Mater. 2011, 23, 5404-5408. ACS Paragon Plus Environment

26

Page 27 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Polymer Materials

53. Yueh, W.; Cao, H. B.; Thirumala, V.; Choi, H. Quantification of EUV Resist Outgassing. Proc.

SPIE 2005, 5753, 765-770. 54. Tarutani, S.; Tsubaki, H.; Fujimori, T.; Takizawa, H.; Goto, T. Novel EUV Resist Materials Design for 14 nm Half Pitch and Below. J. Photopolym. Sci. Technol. 2014, 27, 645-654. 55. Chen, L.; Xu, J.; Yuan, H.; Yang, S. M.; Wang, L. S.; Wu, Y. Q.; Zhao, J.; Chen, M.; Liu, H. G.; Li, S. Y.; Tai, R. Z.; Wang, S. Q.; Yang, G. Q. Outgassing Analysis of Molecular Glass Photoresists under EUV Irradiation. Sci. China Chem. 2014, 57, 1746-1750. 56. Santillan, J. J.; Kobayashi, S.; Itani, T. EUV Resist Outgassing Analysis in Selete. Proc SPIE 2008, 6923. 692342.

ACS Paragon Plus Environment

27

ACS Applied Polymer Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

TOC:

ACS Paragon Plus Environment

28

Page 28 of 28