Molecular Glass Resists for High-Resolution Patterning - American

Jun 23, 2006 - substantially above room temperature. .... solution at room temperature. After addition ..... (35) Thackeray, J. W.; Orsula, G. W.; Den...
0 downloads 0 Views 411KB Size
3404

Chem. Mater. 2006, 18, 3404-3411

Molecular Glass Resists for High-Resolution Patterning Junyan Dai, Seung Wook Chang, Alyssandrea Hamad, Da Yang, Nelson Felix, and Christopher K. Ober* Department of Materials Science and Engineering, Cornell UniVersity, Bard Hall, Ithaca, New York 14853 ReceiVed NoVember 7, 2005. ReVised Manuscript ReceiVed May 6, 2006

This paper describes a series of photoresists constructed from glass-forming, low-molecular-weight organic compounds, also known as molecular glasses. Compared with traditional polymeric resists, molecular glass resists are composed of smaller and more-uniform molecular building blocks. In this work, both positive-tone and negative-tone molecular glass photoresists with a range of core structures were designed and synthesized for study in advanced lithography. These molecular glass resists have asymmetric, rigid cores, which is important for producing glassy materials with glass transition temperatures substantially above room temperature. For positive-tone molecular glass photoresists, amorphous films could be obtained by partial protection of the core structure. Images were produced using photoacidgenerator-catalyzed deprotection chemistry. Amorphous negative-tone resists were obtained by mixing molecular glass core structures with another minor resist component such as a photo cross-linker. It was shown by SEC that the molecular weight of the exposed and cross-linked negative-tone resist was less than 2000 g/mol, thus indicating that the solubility change is largely due to a molecular-weight increase. Both types of materials exhibited high sensitivity and resolution. Several resist characteristics were studied to assess their potential as high-resolution resists. These molecular glasses showed high fluorocarbon etch resistance that is comparable to that of poly(hydroxystyrene). Lower line edge roughness was obtained for the negative-tone molecular glass compared to a negative-tone polymeric e-beam resist. The resulting materials exhibited high sensitivity and resolution close to the tool limit under 248 nm exposures when using a chemical amplification process. A well-resolved pattern as small as 50 nm was obtained for the negative-tone molecular glass by e-beam exposure, indicating the excellent potential of using low molecular molar mass molecular glasses to form high-resolution structures.

Introduction Advances in semiconductor design and manufacture are constantly focused on producing a smaller feature size to yield increased processor speed. As part of this effort, significant progress has been made in the past few decades in the area of photolithography.1-3 The wavelength of imaging radiation has evolved from 365 to 248 nm, and more recently to 193 nm, to achieve higher resolution. Extreme ultraviolet (EUV) lithography, utilizing 13.4 nm irradiation, is considered to be a key next-generation lithography (NGL) for production of feature sizes less than 30 nm. As pattern size has decreased, the intrinsic limitations of polymeric materials caused by large molecular size may become an obstacle.4-6 A polymeric resist is a complex mixture of polymers with a range of molecular weights, different protection levels, and different protection sites. This presents tremendous chal* To whom correspondence should be addressed. E-mail: ccmr.cornell.edu.

cober@

(1) Reichmanis, E.; Houlihan, F. M.; Nalamasu, O.; Neenan, T. X. Chem. Mater. 1991, 3 (3), 394. (2) Willson, C. G.; Trinque, B. C. J. Photopolym. Sci. Technol. 2003, 16 (4), 621. (3) Ito, H. J. Photopolym. Sci. Technol. 1998, 11 (3), 379. (4) Nakayama, T.; Ueda, M. J. Mater. Chem. 1999, 9 (3), 697. (5) Tully, D. C.; Wilder, K.; Fre´chet, J. M. J.; Trimble, A. R.; Quate, C. AdV. Mater. 1999, 11 (4), 314. (6) Yoshiiwa, M.; Kageyama, H.; Shirota, Y.; Wakaya, F.; Gamo, K.; Takai, M. Appl. Phys. Lett. 1996, 69 (17), 2605.

lenges in modeling resist behavior and controlling lithographic processes. The typical size of a photoresist macromolecule is several nanometers, depending on both chemical structure and molecular weight. For NGL processes, this means that the size of such a polymeric building block may be close to the dimension of the pattern. The relatively large size of the polymers used in photoresists has also been implicated in irregularities in the patterned resist, known as line edge roughness (LER). LER refers to fluctuations observed in the edges of specific patterned lines. Research, therefore, has been carried out to reduce the molecular size of the resist components to improve resolution as well as LER.7 Recently, LER has drawn increasing attention because of its potential negative affect on device performance.8-10 Studies have shown that LER does not scale with feature size, which makes it an even more serious issue for nextgeneration lithography that targets extremely small features. Although the causes of LER are not clearly understood, many factors are believed to affect LER, for example, shot noise,11 aerial image contrast,12 mask imperfection, acid diffu(7) Tully, D. C.; Trimble, A. R.; Fre´chet, J. M. J. AdV. Mater. 2000, 12 (15), 1118. (8) Lin, Q.; Sooriyakumaran, R.; Huang, W. Proc. SPIE 2000, 3999, 230. (9) Shin, J.; Ma, Y.; Cerrina, F. J. Vac. Sci. Technol., B 2002, 20 (6), 2927. (10) Yoshizawa, M.; Moriya, S. J. Vac. Sci. Technol., B 2002, 20 (4), 1342. (11) Rau, N.; Stratton, F.; Fields, C.; Ogawa, T.; Neureuther, A.; Willson, G. J. Vac. Sci. Technol., B 1998, 16 (6), 3784.

10.1021/cm052452m CCC: $33.50 © 2006 American Chemical Society Published on Web 06/23/2006

Molecular Glass Resists for High-Resolution Patterning

sion,9,13,14 resist structure,15 and processing conditions.16,17 From a materials point of view, polymer aggregation,18-20 phase separation at an exposure boundary,21 molecular weight, and polydispersity14,15 are generally believed to contribute to LER. The concept of reducing the molecular size of photoresist building blocks has been previously explored using dendrimers.22-24 These materials have been imaged using G-line, e-beam, and scanning probe lithography. Compared with classical polymers, dendrimers are monodisperse spherical molecules that do not undergo chain entanglement. In the work of Williamson et al.,23 low surface roughness and low LER were observed. However, the synthesis of dendrimers involves laborious growth and purification steps. Instead of polymeric or dendrimeric materials, the idea of using small, glass-forming molecules as photoresists was recently introduced to the field of photolithography.4,6,24-29 They offer the possibility of reducing the “pixel” size of the basic imaging structure and, because of their small size, thermodynamic mixing of additives should be superior. Shirota6,26 has produced several types of molecular glass materials as positive-tone e-beam resists. However, the doses required in e-beam exposure were 3 orders of magnitude higher than those typical for resists that decompose by catalytic acidolysis, of the sort known as chemically amplified resists (CAR).30-32 In addition, very rough surfaces were observed for both materials. Other molecular glass systems based on calixarene used in both positive-tone and negativetone resists have been studied by Ueda’s group,4,24,27,29 and (12) Shin, J.; Han, G.; Ma, Y.; Moloni, K.; Cerrina, F. J. Vac. Sci. Technol., B 2001, 19 (6), 2890. (13) Asakawa, K.; Ushirogouchi, T.; Nakase, M. J. Vac. Sci. Technol., B 1995, 13 (3), 833. (14) Yoshimura, T.; Shiraishi, H.; Yamamoto, J.; Okazaki, S. Appl. Phys. Lett. 1993, 63 (6), 764. (15) Shiraishi, H.; Yoshimura, T.; Sakamizu, T.; Ueno, T.; Okazaki, S. J. Vac. Sci. Technol., B 1994, 12 (6), 3895. (16) He, D.; Cerrina, F. J. Vac. Sci. Technol., B 1998, 16 (6), 3748. (17) Flanagin, L. W.; Singh, V. K.; Willson, C. G. J. Vac. Sci. Technol., B 1999, 17 (4), 1371. (18) Yamaguchi, T.; Yamazaki, K.; Nagase, M.; Namatsu, H. Jpn. J. Appl. Phys. 2003, 42 (6B), 3755. (19) Yamaguchi, T.; Namatsu, H.; Nagase, M.; Yamazaki, K.; Kurihara, K. Appl. Phys. Lett. 1997, 71 (16), 2388. (20) Yamaguchi, T.; Namatsu, H.; Nagase, M.; Yamazaki, K.; Kurihara, K. Jpn. J. Appl. Phys. 1999, 38, 7114. (21) Lin, Q.; Goldfarb, D. L.; Angelopoulos, M.; Sriram, S. R.; Moore, J. S. Proc. SPIE 2001, 4345, 78. (22) Tully, D. C.; Trimble, A. R.; Fre´chet, J. M. J.; Wilder, K.; Quate, C. Chem. Mater. 1999, 11, 2892. (23) Williamson, M.; Neureuther, A. J. Vac. Sci. Technol., B 2000, 18 (6), 3345. (24) Haba, O.; Haga, K.; Ueda, M.; Morikawa, O.; Konishi, H. Chem. Mater. 1999, 11, 427. (25) Fujita, J.; Ohnishi, Y.; Ochiai, Y.; Matsui, S. Appl. Phys. Lett. 1996, 68 (9), 1297. (26) Kadota, T.; Yoshiiwa, M.; Kageyama, H.; Wakaya, F.; Gamo, K.; Shirota, Y. Proc. SPIE 2001, 4345, 891. (27) Kwon, Y.; Kim, J. B.; Fujigaya, T.; Shibasaki, Y.; Ueda, M. J. Mater. Chem. 2002, 12, 53. (28) Tada, T.; Kanayama, T. J. Appl. Phys. 1996, 35, L63. (29) Ueda, M.; Takahashi, D.; Nakayama, T.; Haba, O. Chem. Mater. 1998, 10, 2230. (30) Willson, C. G.; Ito, H.; Fre´chet, J. M. J.; Houlihan, F. Proc. IUPAC, IUPAC Macromol. Symp. 28, 1982, 448. (31) Ito, H.; Willson, C. G. Polym. Eng. Sci. 1983, 23 (18), 1012. (32) Ito, H.; Willson, C. G.; Fre´chet, J. M. J. Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone. U.S. Patent 4 491 628, 1985.

Chem. Mater., Vol. 18, No. 15, 2006 3405

micrometer-sized patterns were obtained using 365 nm exposures. In this work, the design and synthesis of a series of chemically amplified positive and negative molecular glass photoresists with different core structures is described. These materials have good film-forming properties and, equally important, lack crystallinity. A merit of the negative-tone system is that many hydroxy-containing molecules are commercially available. It is therefore ideal for a systematic study of the influence of core structures on lithographic properties such as resolution, LER, and etching resistance. The effects of core structure and protecting group on glass transition temperature and etch resistance will be discussed. Lithographic evaluation was carried out using a 248 nm stepper and e-beam exposure tools. Both types of materials have much higher sensitivity and resolution than materials reported in previous work.6,26 LER characteristics of a negative-tone molecular glass resist was evaluated on the basis of e-beam imaging. Experimental Section Materials. Unless otherwise noted, all chemicals, including triphenylsulfonium nonaflate (TPS nonaflate), were purchased from Aldrich and used without further purification. 4-[4-[1,1-Bis(4hydroxyphenyl)ethyl]]-R,R-dimethylbenzylphenol (MG2-OH) was obtained from TCI America. 5,5′,6,6′-Tetrahydroxy-3,3,3′,3′-tetramethyl-1,1′-spirobisindane (MG4-OH) was obtained from Alfa Aesar. Powderlink 1174 (tetrakis(methoxylmethyl)glycoluril) (TMMGU) was obtained from Day Chem Laboratories. AZ 300 MIF was obtained from Clariant Corporation, AZ Electronic Materials. Synthesis of 4-[4-[1,1-Bis(4-tert-butoxycarbonyloxybenzyl)ethyl]]-r,r-dimethyl benzylphenol (MG2-tBOC). 4-[4-[1,1-Bis(4-hydroxyphenyl)ethyl]]-R,R-dimethylbenzylphenol (5 g, 11.8 mmol) and (dimethylamino)pyridine (0.144 g, 1.18 mmol) were dissolved in 15 mL of acetone. A solution of di-tBOC (5.55 g, 25.4 mmol) in 10 mL of acetone was then added dropwise to the solution at room temperature. After addition, the mixture was stirred for 4 h. Solvent was then removed by evaporation. The crude product was dissolved in acetone and then precipitated in 0.26 N tetramethylammonium hydroxide (TMAH) solution to remove MG2-OH with only one or no protecting group. Those compounds are soluble in standard developer solution and will cause dark loss. The product was filtered and washed with DI water several times. White powder was obtained after drying the product in a vacuum oven overnight. NMR 1H (CD3OCD3): δ 7.30-6.74 (m, 16H, -CH), 2.16-2.05 (m, 3H, -CH3), 1.67-1.62 (d, 6H, -C(CH3)2), 1.51 (s, 18H, OC(CH3)3). Synthesis of 4-[4-[1,1-Bis(4-tetrahydropyranyloxybenzyl)ethyl]]-r,r-dimethyl-tetrahydropyranyloxybenzylbenzene (MG2THP). 4-[4-[1,1-Bis(4-hydroxyphenyl)ethyl]]-R,R-dimethylbenzylphenol (5 g, 11.8 mmol) was dissolved in 20 mL of ethyl acetate and 20 mL of dihydropyran. Ten drops of trifluoroacetic acid were then added to the solution. The reactants were stirred overnight at room temperature. A white powder precipitated during the reaction. The white precipitate was collected and dissolved in dichloromethane. The solution was washed with 50 mL of a NaHCO3 aqueous solution and twice with 50 of mL water. After drying the solution over MgSO4, the solvent was removed. A white powder was obtained after drying. NMR 1H (CDCl3): δ 7.12-6.93 (m, 16H, CH), 5.38 (s, 3H, OC(CH2)HO), 3.92-3.58 (m, 6H, O-CH2), 2.10 (s, 3H, CH3), 1.63 (s, 6H, CH3-C-CH3), 2.00-1.58 (m, 18H, (CH2)3).

3406 Chem. Mater., Vol. 18, No. 15, 2006 Synthesis of 5,5′,6,6′-tetra-(4-tert-butoxylcarbonyloxy)-3,3,3′,3′tetramethyl-1,1′-spirobisindane (MG4-tBOC). 5,5′,6,6′-Tetrahydroxy-3,3,3′,3′-tetramethyl-1,1′-spirobisindane (5 g, 14.0 mmol) and (dimethylamino)pyridine (0.17 g, 1.4 mmol) were dissolved in 15 mL of acetone. A solution of tert-butyl-dicarbonate (14.7 g, 67.2 mmol) in 10 mL of acetone was then added dropwise to the previous solution at room temperature. After addition, the mixture was stirred for 4 h; solvent was removed by evaporation. The crude product was dissolved in acetone and then precipitated in a 0.26 N TMAH solution. The product was filtered and washed with DI water several times. A brown powder was obtained after drying in a vacuum oven overnight. NMR 1H (CDCl3): δ 7.39-7.26 (m, 4H, CH), 2.19 (m, 4H, CH2), 1.49 (s, 36H, (CH3)3), 1.21(m, 12H, CH3-C-CH3) Characterization. Nuclear magnetic resonance (NMR) measurements were performed on a Varian Mercury 300 MHz NMR instrument. Fourier transform infrared (FTIR) measurements were performed on a Mattson Infinity Gold FTIR spectrometer. The molecular weights of exposed negative-tone polymers were determined by size-exclusion chromatography (SEC) equipped with a Waters 486 UV detector and a Waters 410 differential refractive index detector. Polystyrene (PS) was used as the calibration standard and toluene was used as the internal standard. Tetrahydrofuran (THF) was the eluent. Thermogravimetric analysis (TGA) was performed using a Seiko differential thermal analyzer SSC 5200 TG/DTA 320 at a 10 °C/min heating rate. The glass transition temperatures (Tg) were measured using a Seiko oscillating differential scanning calorimeter DSC 220C at 10 °C/min heating and cooling rates. Grazing angle X-ray diffraction was performed using a θ-θ diffractometer to examine the crystallinity of the thin films. Lithographic Evaluation of Positive-Tone Molecular Glasses. A typical procedure is described in the following section using MG2-tBOC as an example. MG2-tBOC, TPS nonaflate (5 wt % on the basis of molecular glass) and trioctylamine (0.14 wt % on the basis of molecular glass) were dissolved in propylene glycol methyl ether acetate (PGMEA) with a 12 wt % solids content. The solution was spin-coated onto a 4 in. vapor-primed silicon wafer after being filtered through a 0.2 µm filter five times. The film (approximately 200 nm) was baked at 115 °C for 60 s. A 248 nm exposure was then performed on a Nikon NSR-1505 EX-1 stepper (NA ) 0.42). E-beam exposure was carried out using a Leica/ Cambridge EBMF 10.5/CS (40 kV) electron beam lithography system. After exposure, the film was baked between 80 and 100 °C for time periods between 15 and 60 s. Development was performed in an AZ 300 MIF developer (0.262 N TMAH) for 3060 s. Lithographic Evaluation of Negative-Tone Molecular Glasses. A typical procedure is described as follows using MG2-OH as an example. MG2-OH and 15 wt % TMMGU were dissolved in PGMEA with 12 wt % solids content. TPS nonaflate (5 wt % on the basis of molecular glass) and trioctylamine (0.14 wt % on the basis of molecular glass) were added to the solution. The solution was then spin-coated onto a 4 in. vapor-primed silicon wafer after being filtered five times through a 0.2 µm filter. The film (approximately 200 nm) was baked at 115 °C for 60 s. A 248 nm exposure was then performed on a Nikon NSR-1505 EX-1 stepper. After exposure, the film was baked between 100 and 150 °C for 30 s. For Leica VB6 e-beam exposure, formulations with the same composition except at a 6 wt % solid content (approximate 100 nm film thickness) were used. The same bake conditions were applied. Finally, the resist was developed using an AZ 300MIF developer. Reactive Ion Etching Study. The molecular glass films were spin-coated from 12 wt % solution in PGMEA onto vapor-primed wafers and baked at 115 °C for 60 s. All etch experiments were

Dai et al.

Figure 1. Model of modular molecular glass photoresist: core ) molecular glass core, acid ) acidic functional group, pg ) protecting group.

carried out using a Plasmatherm RIE 72 in the Cornell Nanoscale Science and Technology Facility. Two standard recipes, nitride and oxide etching, were chosen for the study. The etching conditions for both recipes can be found in the Supporting Information. The film thickness before and after etching was measured by a Leitz MV-SP spectrophotometer. Poly(hydroxystyrene) was used as the reference material. Metrology. Scanning electron micrographs (SEMs) were taken on either a Leo (Zeiss) 982 scanning electron microscope or a Hitachi S4700 scanning electron microscope. Line edge roughness was calculated using a program developed by Shin et al. at the University of Wisconsin at Madison.12 A SEM image of a 100 nm line/space pattern with 100 000 × magnification was used. Two hundred points were taken for each line edge. The LER value was the average of eight adjacent lines.

Results and Discussion Design and Synthesis of Molecular Glasses. In designing photoresists, several issues must be addressed, including transparency, etch resistance, and solubility. Additional requirements apply for molecular glasses such as the lack of crystallinity and a glass transition temperature high enough to provide image fidelity. Small molecules are more likely to crystallize than polymers, and crystallization is undesirable in lithography, because it will cause pattern distortion. A high glass transition temperature is required for reducing acid diffusion as well as preventing pattern deformation during the postexposure baking step. On the basis of these requirements, we designed the molecular glass photoresists used in these studies following the strategy shown in Figure 1. The basic components consist of an etch resistant core, acidic groups for base dissolution, and acid cleavable protecting groups. Two different core structures were used in this work, as listed in Figure 2. The multiring aromatic structures helped to improve glass transition temperature as well as etch resistance. The pKa values for the phenolic molecular glass cores (MG2-OH and MG4OH) are 9.38 and 9.75, respectively. These values are comparable to that of the phenolic group of poly(hydroxystyrene) (PHS) and thus provide sufficient acidity for aqueous base development. Asymmetric partially protected structures were prepared to decrease crystallinity as well as improve adhesion through the presence of the acidic hydroxy groups. In our work, negative-tone molecular glass resists were also

Molecular Glass Resists for High-Resolution Patterning

Figure 2. Two different core structures used in this work. (a) MG2-OH and (b) MG4-OH. Table 1. Glass Transition Temperatures and Base Solubility of Molecular Glasses molecular glassa

Tg (°C)

soluble in 0.26 N TMAH

MG2-tBOC (1) MG2-tBOC (2) MG2-tBOC (3) MG2-THP MG4-tBOC (1) MG4-tBOC (2) MG4-tBOC (3) MG4-tBOC (4)

47.3 53.5 58.8 18.7 7.1 48.8 52.0 62.2

yes no no no yes yes no no

a Number in parentheses indicates the average number of tBOC protecting groups on each molecular glass molecule.

employed by mixing these unprotected core molecules with TMMGU, an acid-catalyzed photo cross-linker. Interestingly, it was possible to form glasses from core materials that alone are not normally glass formers by adding some other minor component, such as the cross-linker. During exposure and baking steps, the positive-tone molecular glasses underwent deprotection to become soluble in developer. The negativetone composition becomes insoluble because of the formation of a network structure in the presence of TMMGU, as shown in Figure 3. Both MG2-tBOC and MG2-THP were synthesized expressly for these studies. Both t-BOC and THP groups were used to protect the MG2-OH core. The THP group is known to have very low activation energy, which lowers the postexposure baking temperature. In addition, incorporation of the ring structure can increase etch resistance. 5,5′,6,6′Tetrahydroxy-3,3,3′,3′-tetramethyl-1,1′-spirobisindane (MG4OH) with different numbers of t-BOC protecting groups was also synthesized using a synthetic procedure similar to that of MG2-tBOC. Figure 4 shows the FT-IR spectra of MG2-tBOC with different numbers of attached tBOC protecting groups. As the quantity of tBOC groups increased, the hydroxyl peak at ∼3400 cm-1 was observed to decrease, whereas the carbonyl peak at ∼1760 cm-1 increased. It was also observed that the phenolic OH group in 67% t-BOC-protected MG2OH absorbed at 3446 cm-1, which is much higher than the value, 3371 cm-1, in the unprotected form, as would be expected because of hydrogen bonding in the molecular glass. We find similar results in mixtures of the phenolic compound with the photo cross-linker, TMMGU. Thermal Properties of Molecular Glasses. Thermal analysis shows that the molecular glasses of this study

Chem. Mater., Vol. 18, No. 15, 2006 3407

undergo a heat-induced weight loss between 150 and 240 °C that is associated with the removal of protecting groups at lower temperatures. Glass transition temperatures were measured by DSC. Figure 5 shows the DSC traces of MG2tBOC during the heating and cooling cycle. Only a glass transition, and no melting peak, was detected, indicating the amorphous nature of the bulk material. The glass transition temperatures of these molecules are summarized in Table 1. It was found that the transition temperatures of these glassy molecules depended on both core structure and protecting group and were lower than those of polymers with similar structures. For the same protecting group, Tg increases when the protection level increases. This result is counterintuitive and may be the result of mixed tert-butyl carbonate isomers raising Tg at incomplete substitution. Interestingly, carbonate polymers have been shown to exhibit antiplasticization, a phenomenon in which a small additive raises the Tg of the mixture.33 A similar effect involving the reduction in relaxation time of a complex mixture may be active here. We see no evidence for retained solvent, a possible explanation for reduced Tg in the unprotected samples. Our other analyses yield no obvious explanation for this observation. Resists with high glass transition temperatures are preferred in order to reduce the diffusion of the acid as well as prevent pattern deformation when the film is baked after exposure. An alternative solution to reducing acid diffusion is to use a low baking temperature enabled by using a lowactivation-energy protecting group. By doing so, thermally induced pattern deformation can also be avoided. THP is known to be a low-activation-energy protecting group and therefore was chosen as the protecting group for this purpose. Grazing Angle X-ray Diffraction. During DSC measurement, no melting peak was observed for the bulk molecular glass samples. Thin film samples were examined by grazing angle X-ray diffraction as well. The X-ray beam was incident at a fixed angle of 1° so that no signal from the silicon single-crystal substrate was detected. Figure 6 shows the X-ray pattern of MG2-tBOC. A broad peak was observed in the film, which indicates the molecular glass thin film is amorphous. Similar results were observed for MG2-THP. MG2-OH is a crystalline material. In our study, this material is used as a negative-tone resist by mixing it with a cross-linker, TMMGU. It was found that after blending with the crystalline photo cross-linker material, TMMGU, an amorphous structure was also observed in the grazing angle X-ray diffraction spectrum. Throughout our study, no crystallization was observed for any of these thin film samples. Molecular-Weight Changes In Negative-Tone Molecular Glasses. In many circumstances, negative-tone photoresists have advantages over positive-tone resists.34 For example, negative-tone resists have better mechanical strength and are thus less likely to undergo pattern distortion and collapse. They also have higher glass transition temperatures after cross-linking. The disadvantage of using negative-tone resists is mainly the microbridging caused by ultrahigh(33) Anderson, S. L.; Grulke, E. A.; DeLossus, P. T.; Smith, P. B.; Kocher, C. W.; Landes, B. G. Macromolecules 1995, 28, 2944-2954. (34) Brunner, T. A.; Fonseca, C. Proc. SPIE 2001, 4345, 30.

3408 Chem. Mater., Vol. 18, No. 15, 2006

Dai et al.

Figure 3. Cross-linking reaction of a negative-tone molecular glass (MG2-Neg) during exposure and baking.

Figure 4. FT-IR spectra of MG2-OH with different protection levels: (a) MG2-OH, (b) one tBOC protecting group, (c) two tBOC protecting groups, and (d) three tBOC protecting group.

Figure 6. Grazing angle X-ray diffraction spectrum of MG2-tBOC.

Figure 5. DSC heating and cooling cycle for MG2-tBOC.

molecular-weight components of a resist. It has been reported that using a lower-molecular-weight polymer helped to reduce microbridging.35 If this is the case, negative-tone molecular glasses should be free of microbridging. Thus,

negative-tone systems offer a possible solution for the problem of low Tg in small molecules. Two negative-tone systems (MG2-Neg and MG4-Neg) were studied in this work. Each is a three-component system composed of a hydroxyl-containing molecule (MG2-OH or MG4-OH), Powderlink cross-linker (TMMGU), and photoacid generator. Figure 3 shows a probable cross-linking mechanism in the negative-tone system,36 determined on the basis of studies of hydroxystyrene photoresists. Upon exposure, photoacid is generated in the exposed region. Afterward, cross-linking between TMMGU and hydroxyl groups is catalyzed by photoacid during postexposure baking. Bigger molecules with reduced solubility are thus formed. (35) Thackeray, J. W.; Orsula, G. W.; Denison, M. Proc. SPIE 1994, 2195, 152. (36) Lin, Q.; Katnani, A.; Willson, C. G. Proc. SPIE 1997, 3049, 974.

Molecular Glass Resists for High-Resolution Patterning

Chem. Mater., Vol. 18, No. 15, 2006 3409

Figure 7. SEM images of molecular glasses. (A) MG2-tBOC shows a 300 nm pattern by 248 nm exposure; 28.6 mJ/cm2. (B) T-THP shows a 300 nm lines/spaces pattern by 248 nm exposure; 3.2 mJ/cm2. (C) MG2-tBOC shows a 150 nm lines/spaces pattern by 40 kV e-beam exposure; 40 µC/cm2. (D) MG2-tBOC shows a 120 nm pattern by 40 kV e-beam exposure; 40 µC/ cm2.

Before performing a patterning study, we examined the molecular weight of the cross-linked molecules by SEC. No insoluble particles were observed during SEC measurement. In a typical SEC trace, two peaks were observed that correspond to unreacted molecules and those that had undergone some cross-linking. The peak molecular weight of the networked molecules is approximately 2000 g/mol. Even though the ratio between the cross-linked and noncross-linked molecular glasses changes under various doses and baking temperatures, the molecular weight of the crosslinked molecules was always ∼2000 g/mol. After network formation, the size of the molecule is still much smaller than that of a conventional polymeric resist. Polymeric negativetone materials are known to have microbridging problems because of their high molecular weight after cross-linking.37 For molecular glass systems, this is likely not the case. Lithographic Evaluation of Positive-Tone Molecular Glasses. Positive-tone molecular resists were tested using both 248 nm and e-beam exposures. The Nikon NSR-1505 EX-1 stepper used for 248 nm exposure has a numerical aperture (NA) of 0.42, which limits its resolution to ∼300 nm. For MG2-tBOC, after exposure, the film was baked (PEB) at 80, 85, 90, and 100 °C for 30 s. The best result was obtained using a postexposure bake of 85 °C. Scanning electron micrographs were taken of the resulting exposed images, as shown in Figure 7a. Although this baking temperature was much higher than the Tg of this material, adequate resolution was achieved. For baking temperatures above 90 °C, patterns were severely degraded because of acid diffusion. (37) Brunner, T. A.; Fonseca, C. Proc. SPIE 2001, 4345, 30.

For MG2-THP, because THP is a very low activation energy protecting group, low temperatures (room temperature to 80 °C) were used during postexposure baking. It was found that for PEB steps below 50 °C, the film could be developed with very good resolution. As shown in Figure 7b, 300 nm line/spaces were resolved. The measured sensitivity of MG2THP is an order of magnitude higher than that of MG2tBOC. MG2-tBOC was also tested using a 40 kV e-beam tool under process conditions similar to those used in the 248 nm exposure. Panels c and d of Figure 7 show SEMs with a dose of 40 µC/cm2. Line patterns of 150 nm line/space and 120 nm were resolved. Lithographic Evaluation of Negative-Tone Molecular Glasses. Negative-tone molecular glass systems were tested using both a 248 nm stepper and a Leica VB6 100 kV e-beam exposure tool. The SEM images of MG2-Neg are shown in Figure 8a; 300 nm lines and spaces were resolved. Figure 8b shows SEM images of MG4-Neg. Again, 300 nm line/ space resolution could be obtained. Although similar resolution was achieved for both systems, the sensitivity of MG4-Neg is much lower than that of MG2Neg. This can be explained in terms of differences in their chemical structures. MG4-OH has two adjacent hydroxyl groups on each side of the molecule. After a first hydroxyl group is reacted with TMMGU, steric hindrance imposed by the first group may inhibit reaction of the second adjacent hydroxyl group. Instead, in the case of MG2-OH with three well-separated hydroxy groups, no interference can happen between these groups. MG2-Neg was tested using a 100 kV e-beam tool with process conditions similar to those of the 248 nm exposure.

3410 Chem. Mater., Vol. 18, No. 15, 2006

Dai et al.

Figure 8. SEM images of molecular glasses. (A) MG2-Neg shows a 300 nm pattern by 248 nm exposure; 3.6 mJ/cm2. (B) MG4-Neg shows a 300 nm pattern by 248 nm exposure; 19.3 mJ/cm2. (C) MG2-Neg shows a 50 nm 2/1 lines/spaces pattern by 100 kV e-beam exposure at 115 °C baking temperature; 80 µC/ cm2. (D) A 100 nm line/space pattern of MG2-Neg for LER calculation.

Figure 8c shows SEM images of MG2-Neg in which 50 nm patterns were produced. The film thickness is ∼100 nm. As mentioned previously, a higher glass transition temperature was expected for the negative-tone system; this proved to be true in our study. After exposure, MG2-Neg and MG4Neg were baked at up to 130 and 150 °C, respectively, and no pattern degradation was observed. Plasma Etch Resistance. Plasma etch behavior is a key attribute of a photoresist. This process is used to transfer the pattern of a photoresist to the underlying substrate. The required resist film thickness depends on the etch selectivity between a resist and the substrate. As feature size decreases, high etch resistance becomes increasingly important because a high aspect ratio (>3.5) usually results in pattern collapse. Thus, it is critical that molecular glasses have good etch resistance if they are indeed useful photopatternable materials. Fluorocarbon plasma is the most commonly used etch plasma for pattern transfer. In this work, a standard silicon nitride recipe using CF4 as etching gas was chosen. Poly(hydroxystyrene) (PHS) was used as a reference material in the etch study. Figure 9 shows the relative etch rates of different molecular glasses compared with PHS. The triester is a previous version of a molecular glass resist synthesized in this laboratory.38 MG2-tBOC, MG2-THP, and MG2-Neg were found to have similar or even lower etch rates than PHS, with relative values ranging from 0.8 to 1.4. However, the triester structure shown in the figure has only half the etch resistance of PHS. It has been previously reported39 that (38) Chang, S. W.; Yang, D.; Dai, J.; Felix, N.; Bratton, D.; Tsuchiya, K.; Kwark, Y.; Bravo, J. P.; Ober, C. K. Proc. SPIE 2005, 5753, 1. (39) Yu, T.; Ching, P.; Ober, C. K. Proc. SPIE 2001, 4345, 945.

Figure 9. Nitride etch resistance comparison of different molecular glasses.

a carbonyl bond is the least etch resistant chemical structure among many bonds that are common to organic compounds. This would explain the high etch rate of the triester compound with six carbonyl bonds per molecule. This observation suggests that in the design of future molecular glasses, the carbonyl bond or other groups that induce low etch resistance should be avoided. Line Edge Roughness (LER). One of the strongest arguments for using molecular glasses is that a small molecule resist may have a lower LER than a polymer resist. However, this has not yet been proven experimentally. Here, the LER study of molecular glasses materials is reported. Figure 8d shows an example of the LER obtained from an e-beam patterned negative-tone molecular glass resist, MG2Neg. Here, the feature size of a line/space pattern is 100 nm. The calculated LER is 5.18 nm (3σ), which is much lower than that for most reported polymeric resists. By using

Molecular Glass Resists for High-Resolution Patterning

the same LER calculation program, Ma et al. have reported a standard negative-tone chemically amplified polymeric resist, NEB22, that exhibits LERs of 12 ( 0.45 nm for e-beam.40 Although it is certainly possible to produce molecular glass photoresists with higher LER values, this initial result indicates that small molecule resists have a great potential to reduce LER. Optimization of experimental conditions to reduce the LER of several molecular glasses is underway. Conclusions Both positive-tone and negative-tone molecular glasses with selected core structures were designed and synthesized for advanced lithography studies. It was found that these small molecules with rigid aromatic core structures could form amorphous films by either using asymmetric molecular design or blending with another minor component. These (40) Ma, Y.; Cerrina, F.; Barwicz, T.; Smith, H. I. J. Vac. Sci. Technol., B 2006, to be published.

Chem. Mater., Vol. 18, No. 15, 2006 3411

materials, especially negative-tone molecular glasses (MG2Neg), exhibit excellent sensitivity and high resolution. A preliminary LER study shows that the negative-tone materials have lower LER compared to that of traditional polymeric photoresist materials. Adequate plasma etch resistance was also observed for these molecular glasses. Acknowledgment. This work was funded in large part by the Semiconductor Research Corporation (SRC) and from funds of the NSF/SRC-supported ERC for Environmentally Benign Semiconductor Processing. Intel Corporation is also thanked for partial support of this work. The Cornell Nanoscale Science and Technology Facility (CNF) and the Cornell Center for Materials Research (CCMR) are thanked for use of their facilities. We appreciate the invaluable assistance of Garry Bordonaro for 248 nm exposures. Supporting Information Available: Nitride and oxide etch conditions. This material is available free of charge via the Internet at http://pubs.acs.org. CM052452M