Multiscale Simulation Approach on Sub-10 nm Extreme Ultraviolet

Aug 29, 2018 - Multiscale Simulation Approach on Sub-10 nm Extreme Ultraviolet Photoresist Patterning: Insights from Nanoscale Heterogeneity of Polyme...
0 downloads 0 Views 6MB Size
Article Cite This: Macromolecules XXXX, XXX, XXX−XXX

pubs.acs.org/Macromolecules

Multiscale Simulation Approach on Sub-10 nm Extreme Ultraviolet Photoresist Patterning: Insights from Nanoscale Heterogeneity of Polymer Muyoung Kim,† Junghwan Moon,† Joonmyung Choi,‡ Sungwoo Park,† Byunghoon Lee,*,§ and Maenghyo Cho*,†,‡

Macromolecules Downloaded from pubs.acs.org by KAOHSIUNG MEDICAL UNIV on 08/29/18. For personal use only.



Division of Multiscale Mechanical Design, School of Mechanical and Aerospace Engineering, and ‡Institute of Advanced Machines and Design, Seoul National University, Seoul, Republic of Korea § Mask Development Team, Samsung Electronics Co., Ltd., Suwon, Gyeonggi-do, Republic of Korea S Supporting Information *

ABSTRACT: We developed a multiscale model that integrates density functional theory (DFT), molecular dynamics (MD), and the finite difference method (FDM) to reflect the heterogeneous spatial distribution of the material ingredients on sub-10 nm photoresist (PR) pattern fabrication using extreme ultraviolet lithography (EUVL). It allowed the exploration of phototriggered chemical reactions at the molecular level, including photoacid generator (PAG) dissociation, acid diffusion-coupled deprotection, and solubility switching of individual polymer chains. To quantify the progress of the deprotection, a protection ratio of each pendant group was tracked to distinguish the dissoluble PR chains from the developer as the process time elapsed. Deprotection was shown to preferentially occur in the pendant group adjacent to the acid molecule ( 4.12 Ȧ facid. n (r ) = m e o o o o o1, r ≤ 4.12 Ȧ n

(5)

Here, kB and T represent the Boltzmann constant and the temperature (K), respectively. Thus, nodes corresponding to the nearest acid molecule at a distance longer than r0 (4.12 Å) reveal a concentration value less than 1, which mathematically diminishes the reaction rate D

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules

Figure 4. (a) Change in the electric charge distribution of PAG by secondary electron attachment. (b) Dissociation energy curve of a secondary electron attached PAG (RSO: the distance between the sulfur of a TPS cation and the oxygen of a tf anion). The projected density of states (PDOS) and spatial distribution of the molecular orbital (MO, isovalue: 0.04) along RSO are shown in the inset (H-28: overlapping orbital of the cation and anion at HOMO−28, H-28′: splitting orbital of the cation separated from HOMO−28). A full description of PDOS and MO is given in Figure S4. when multiplying its interpolated term (facid_tBOCSt) with kTST in eq 9, and the concentration value approaches to 0 for delaying the deprotection rate, as the distance from the nearest acid (r) increases (Figure S3). The concentration of the other nodes, apart from those corresponding to the acids situated at distances shorter than r0, is 1, maintaining the original deprotection rate. Finally, the development process was investigated by applying the time-evolutional change of the protection ratio of each PR chain obtained from the FDM calculation. The PR copolymer chains with a protection ratio less than the critical value (20%)4 were removed in the MD unit cell, which corresponded to the rinsing procedure of the deprotected chain by the developer. Then, an additional NVT dynamics run for 2.0 ns at 363 K was performed for energy equilibration. The LER were calculated using the atomic positions of the cleaved cross section. The images of both the edges in the yzplane were divided into rectangular-shaped cells with a size of 4 Å × 3 Å, and the coordinates of each lattice along the direction of width (xi) were extracted. The LER of each side was defined as 3σ of the xcoordinate distribution, which can be expressed as

LER = 3

1 N

derived by a trilinear interpolation of the concentration for each node (facid.n), as shown in eq 8 (ra−rf: length ratio indicated in Figure 3). Second, deprotection is affected by acid concentration (facid_tBOCSt) and rate constant (kTST) and follows a first-order reaction,9−12 as given by eq 9. The protection ratio of each tBOCSt group (ρtBOCSt) mathematically represents “1-deprotection level” and decreases from 1 to 0 as tBOCSt changes to HOSt during the PEB. Finally, the protection ratio of the PR chain is measured by averaging the corresponding values for the pendant groups belonging to the target chain and is used as the input for the MD simulation for describing the development process. ∂facid. n ∂t

i=1

(7)

facid_tBOCSt = facid.1 rbrdrf + facid.2 rardrf + facid.3 rbrcrf + facid.4 rarcrf + facid.5 rbrdre + facid.6 rardre + facid.7 rbrcre + facid.8 rarcre dρtBOCSt

N

∑ (xi − x ̅)2

= ∇·(Dacid ·∇facid. n )

dt

= − k TSTfacid_tBOCSt ρtBOCSt

(8) (9)

12

(6)

We applied an explicit method (time step: 0.6 ms) by updating the acid concentration (facid.n in eq 7) and protection ratio (ρtBOCSt in eq 9) for reducing the computational burden. The geometric data of the FDM model was mapped using the MD unit cell (9.06 nm × 3.05 nm × 8.44 nm), which involved the positions of the photoacids and the pendant groups (Figure 3). To quantify the acid distribution within the entire matrix, nodes were assigned to the FDM unit cell along the Cartesian axes (space step: x-direction, 0.2 nm; y-direction, 0.18 nm; z-direction, 0.17 nm). Zero acid flux on the outer surfaces was employed as a boundary condition.

where x̅ is the average of the x-coordinates and N the number of cells. 2.3. Finite Difference Method. PAG dissociation results in acid activation which passes through PR matrix, and the photoacid causes deprotection of the pendant group (tBOCSt → HOSt group; see Figure S2). The goal of this continuum scale approach is predicting the change in the solubility of the PR chain by tracing the chemical reaction explained above. Thus, its governing equation is composed of two parts: (1) acid diffusion and (2) deprotection reaction. From the initial acid distribution (see section 2.2), the variation in the normalized acid concentration at node n (facid.n, n = 1−41616) is determined in terms of its spatial gradient, with a diffusion constant Dacid (5.6 × 10−14 cm2/s at 363 K in APEX-E resist)42 in eq 7. The acid concentration for which the tBOCSt group exists (facid_tBOCSt) is

3. RESULTS 3.1. PAG Dissociation. As secondary electrons are generated after light exposure that attach to the PAG E

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules molecules, it leads to a change in the atomic charge distribution (see Figure 4a). Before the attachment, electric charge is equally distributed across the cation and anion parts; however, the assigned electron is localized to a TPS cation, neutralizing its charge (0.848 au → −0.057 au). This charge redistribution weakens the ionic bond of PAG, and its dissociation becomes subsequently easier. To quantitatively investigate the dissociation process, we performed a PES scan of the electron attached PAG along RSO (which is the distance between the sulfur atom of TPS and the oxygen atom of tf; see Figure S1) in the range 1.91−20.34 Å (see Figure 4b). As RSO increases from a fully optimized geometry (3.00 Å), the PAG overcomes an energy barrier (0.174 eV at 5.87 Å) to cleave the weakened ionic bond, and becomes metastable at 7.16 Å. For a further understanding of the metastable state at 7.16 Å, the PDOS of the cation (p orbitals of phenyl and sulfur) and anion (p orbitals of oxygen) were calculated, as shown in Figure S4. Overlapping orbitals (H-3, H-28, and H-44 representing HOMO−3, HOMO−28, and HOMO−44, respectively) of the cation and anion indicate strong interactions (ionic bonding) between them at 3 Å, and these peaks were traced as stretching RSO. The peaks were divided into the individual ionic parts ([cation: H-3′, H-28′, and H44′], [anion: H-3″, H-28′′, and H-44′′]), and revealed separated spatial distribution of the MO, which demonstrates a weakening of the intra- and intermolecular interactions. However, this tendency is not observed at RSO 7.16 Å for H28′ (Figure 4b and Figure S4). The splitting orbital H-28′ (−9.49 eV) at 7.16 Å involves not only the cation part but also the anion, and MO study identifies its partial distribution involving a triflate anion as well. It implies that the phenyl of the cation at 7.16 Å partly shares electrons with the anion part, inducing a slight decrease in energy (0.08 eV ↓) after the breaking of the ionic bond. To examine the observed delocalization of MO at the metastable state, NBO analysis was performed for the optimized molecular geometry with RSO constraint at 7.16 Å. One crucial feature from the NBO calculation is that the interaction between the lone pair (LP) of oxygen atom of triflate anion and the σ* antibonding orbital of C−H bond in the phenyl of the TPS cation showed dominant contribution on the intermolecular interaction between the PAG counterion pairs at the metastable state (Table S4 and Figure S5). The calculated stabilization energy (E(2)) associating with the acceptor−donor delocalization was 0.52 and 1.34 kcal/mol, which were in the range of the value (0.6−1.97 kcal/mol) for weak CH···O interaction in reference NBO studies.53−55 Considering this CH···O interaction at the metastable state, the phenyl of the cation would exert a cage effect43 after the ionic bond cleavage by trapping the radical anion for a short period before protonation. Therefore, we set up the computational criterion that the triflate anion, apart from the cation situated longer than RSO 7.16 Å, would be easily protonated (acid generation) after the ionic bond dissociation at 5.87 Å. As a result, the derived electric charge and dissociation energy curve were applied to the PAGs located in the exposed domain of the MD unit cell for reproducing the dissociation process. Figure 5 shows the probability distribution of RSO during the PEB process of the MD simulation. The pairwise RSO distances between the PAG counterions are about 3−5 Å, with a time interval below 1.0 ns. However, as the time goes up to 2.0 ns, RSO gradually increases to 6−8 Å. This indicates that most of

Figure 5. Time evolution of the probability distribution of RSO (the distance between the sulfur of a TPS cation and the oxygen of a tf anion). The PAG loading is 5.68 wt % of resist.

the PAG molecules can overcome the energy barrier associated with the ionic bond cleavage reaction (see Figure 4b) within the time scale of the developed multiscale model. The position of the detached triflate anion was extracted to quantify the local acid concentration (eqs 3−5) for the FDM calculation. 3.2. Kinetic Parameters for Deprotection. The dissociated triflate anion forms triflic acid by accepting a proton, and the generated acid diffuses through the PR matrix, triggering the deprotection of the tBOCSt group. For describing the coupled chemical reaction, two kinetic parameters, acid diffusivity Dacid and deprotection rate constant kTST, were applied in the FDM formulation (eqs 7 and 9). Dacid (5.6 × 10−14 cm2/s) was obtained from the reaction−diffusion kinetics study of Zuniga et al.,42 and kTST was obtained from the PES of the rate-determining step27 (see Figure S2) of deprotection, shown in Figure 6. During this step, the oxygen of the carbonyl group accepts a proton from triflic acid (H+PAG−) and the tert-butyl group simultaneously detaches from tBOCSt, which results in the formation of the HOCSt (hydroxylcarbonyloxystyrene) group. The TS structure was verified by comparing the normal mode

Figure 6. Potential energy surface of the rate-determining step of deprotection (tBOCSt: tert-butoxycarbonyloxystyrene; HOSt: hydroxystyrene; HOCSt: hydroxylcarbonyloxystyrene, PAG−: triflate anion). The distance between the reactive atoms is given in the inset in angstroms. F

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules

Figure 7. (a) Acid diffusion-coupled deprotection in a FDM unit cell. Isosurfaces represent normalized local acid concentration ( facid.n) and their spherical radii are shown in the inset in nanometers. The color change in the small circles with black edges indicates the deprotection of each tBOCSt group (ρtBOCSt: protection ratio of the tBOCSt group). The EUV-exposure domain is represented as a red outline. (b) Evolution of the normalized local acid concentration (facid_tBOCSt) at each tBOCSt group. Each scattered point is plotted in terms of the x-position of the individual tBOCSts in the FDM unit cell. Late time data (0.6−60 s) is shown in the inset. (c) Deprotection progress for the individual tBOCSt groups. Each scattered point is plotted in terms of the x-position of the individual tBOCSts in the FDM unit cell.

line indicate the positions of the tBOCSt groups, and their color change reflects the decrease in the protection ratio of each group (protection ratio: 1 (tBOCSt) → 0 (HOSt)). The local acid concentration (facid.n) was quantified from the PAG dissociation simulation using the MD unit cell (Figure 5 and eqs 3−5) and represented as a three-dimensional isosurface graph. According to the concentration value, the core (facid.n: 0.8), middle (facid.n: 0.1), and outer surfaces (facid.n: 0.015) were plotted as functions of PEB time. In the beginning, the acid concentration shows a spherical distribution (0.69 nm radius) for the protecting groups, and the core surface (0.33 nm radius) occupies most of the concentration sphere. The core part rapidly diminishes from 0.33 to 0.29 nm radius for 0.012 s, and the increase in the middle and outer surface radii was caused (mid surface radius: 0.54 → 0.65 nm; outer surface radius: 0.69 → 0.87 nm) by absorbing the core region. The mid surface continuously

of the imaginary frequency (Video S1) with the change in the molecular geometry from the reactant to the product. Based on the local minima and TS point, a single-point energy calculation was performed to obtain the energy barrier (ΔE: 1.028 eV) and attempt frequency (ν*: 1.117 × 1014 Hz) of this reaction, and these properties were used to derive the rate constant (kTST: 3.37 s−1 at the PEB temperature of 363 K) in eq 1. 3.3. Acid Diffusion-Coupled Deprotection. When heat is applied to a PR material after acid activation, both acid diffusion and deprotection simultaneously occur during the PEB process. We aim to track the time-evolutional change in the acid concentration and deprotection level via FDM simulation, and a representative PR model (PAG content: 5.68 wt %; PEB temperature: 363 K) was chosen for analyzing the coupled chemical reaction in a sub-10 nm resist, as shown in Figure 7a and Video S2. The small circles with a black edged G

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules expands and records a maximum radius of 0.74 nm at 0.084 s; subsequently, it is completely soaked up into the outer isosurface, resulting in the enlargement of the outer region. Finally, the outer surface spreads to the entire cell and maintains a uniform concentration across the entire PR matrix during 16.5−60 s. The variation in the normalized acid concentration at each node (facid.n) was used to derive the interpolated value, which indicates the concentration of the remaining acid at the exact position of the protecting group. The interpolated concentration data ( facid_tBOCSt) for hundreds of tBOCSt groups were tracked and organized into scattered points along the xdirection in Figure 7b. In the early stages (0−0.6 s), a few peaks swiftly drop, and the low concentration points rise up limited in the EUV-exposure domain. This phenomenon corresponds to the expansion of the outer isosurface (Figure 7a), filling up half of the PR unit cell by absorbing the core and mid concentration region in turn. Subsequently, the acid slowly diffuses from the exposed to the unexposed domain during 0.6−16.5 s and forms a concentration equilibrium state at a facid_tBOCSt of approximately 0.02 (16.5−60 s). Owing to the influence of the highly condensed acid at the exposed region during the early period (0−0.6 s), deprotection is found to preferentially occur in a few tBOCSt groups, indicated in both the red circles of Figure 7a and the downward peaks of Figure 7c at 0.6 s. The specified tBOCSts are shown to exist as neighbor acid molecules within 0.74 nm distance, which is the maximum radius of the mid isosurface of the acid concentration. The subsequent expansion of the outer isosurface (acid concentration) into the entire unit cell leads to a gradual decline in the protection ratio for all the tBOCSt molecules, and the protection ratio curve maintains the deprotection gradient, with the downward peaks, during the remainder of the PEB process (Figure 7c). In other words, the initial spatial distribution of the acid molecules governs the formation of preferentially deprotected sites that results in a chemical gradient that exists over a few nanometers and lasts until the process is terminated. 3.4. Prediction of PR Morphology. The development process occurs on the deprotected PR chain through an aqueous developer, and we examined the protection ratio of each chain that is an average of those of the pendant groups (tBOCSt and HOSt, see Figure 7c) to distinguish between the soluble- and insoluble polymer chains. Figure 8a shows the time evolution of the protection ratio of the PR chains, and each point is plotted in terms of the mean x-position of the individual chains. A chemical gradient is produced in the PR chains during the early stages (0−0.6 s) that is affected by the preferential deprotection of a few tBOCSts, shown in Figure 7, and a less scattered profile than that of the protecting group is found due to the average effect of the protection ratio of the pendant groups. The rest of the PEB time (0.6−60 s) is spent on the chemical reaction occurring in the PR chains, and a faster deprotection is observed in the EUV-exposure domain compared to the unexposed region. Based on the calculated evolution of the protection ratio of the PR chain, the solubility of each chain can be predicted according to the critical protection ratio (0.2), which was reported as a conversion threshold for the development of the P(tBOCSt) resist based on the X-ray and neutron reflectivity measurements of Lin et al.4 In this manner, we quantified the PEB time required for solubility switch of the individual polymer chains (criterion: protection ratio ≤0.2) shown in

Figure 8. (a) Deprotection progress for each PR chain. The critical ratio (0.2) was based on the experiment of Lin et al.4 Each scattered point is plotted in terms of the mean x-position of the individual chains in the FDM unit cell. (b) PEB time required for solubility switch of individual PR chains. The dissoluble chains as a function of PEB time (tPEB) are detected as shown in groups 1−3. The blue ovals represent the locations of activated photoacids.

Figure 8b, and the PR chains having a bar graph shorter than the user-defined critical PEB time (termination of the process at 14.1 s) would be dissoluble in a polar solvent. According to the calculated PEB time for the change in solubility, the PR chains were categorized into several groups (1−3) to demonstrate the propagation of the polymer deprotection in the matrix. The PR chains (group 1) adjacent to the photoacids were initially converted into a hydrophilic state (tPEB, PEB time: 11.4 s) that was affected by the preferential deprotection of the tBOCSt groups (Figure 7) surrounding the acid molecules within a 0.74 nm distance. The solubility switch of the polymer chains was followed by those of group 2 (tPEB: 12.7 s) and group 3 (tPEB: 14.1 s) with respect to the relative distance between the chain and acid. In a MD unit cell, the dissoluble polymer chains (groups 1− 3) at the critical PEB time (14.1 s) are wiped out, and the remaining chains are composed of the final configuration of the PR pattern using NVT ensemble dynamics (Figure 9). The atomic position of the cleaved interface was extracted for each unit domain (yz-plane: 4 Å × 3 Å) and used to calculate the LER (1.63 nm) of the fabricated line pattern (half pitch: 4.56 nm; line/space width ratio: 1/1.46). The estimated LER also H

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules

measured from the mole fraction of the dissoluble polymer chains in the matrix. Table 2. Modulation of the Critical PEB Time for Various Polymer Loss Percentages critical PEB time (s) PAG concn (wt %)

polymer loss 12−16%

polymer loss 28−36%

polymer loss 52−56%

5.68 8.15 10.49 20.03 30.12

10.0 8.4 7.6 4.6 3.2

12.5 10.3 9.3 5.8 3.9

14.1 12.2 11.8 7.1 5.2

One noticeable feature shown in Figure 10a is that the LER (polymer loss: 28−56%) decreases by 21.8−35.8% with the increase in PAG amount (5.68−10.49 wt %) and starts to increase (LER 32.5−47.8% ↑) when the weight fraction of PAG exceeds 10.49 wt %. The calculated LER inversion in the middle of the PAG concentration range, at 10.49 wt %, becomes ambiguous for 12−16% polymer loss cases because the presence of only a few dissoluble PR chains is insufficient to characterize the tendency. Note that the predicted LER decline at high PAG loading condition and continuous increment after the critical PAG amount are well matched with the experimental reports,13−17 and this unique trend of LER could not have been obtained using the currently reported continuum bulk resist model.9−12 Furthermore, the magnitude of the calculated LER (1.1−5.5 nm) is in the range of the experimentally measured roughness values18−20 (1.7−6 nm) for a CAR-line pattern fabricated at the nanometer level (half pitch: 16−20 nm). The qualitative and quantitative agreements between the calculations and experiments13−20 validate the reliability of the multiscale model presented for the prediction of PR morphology in EUV lithography. In addition, LER enhancement was found in all PAG loading conditions as the number of dissoluble chains increased from 12−16% to 52−56%. It is because as the PEB time elapses, the deprotection of the PR chains progresses from the surrounding region of the photoacid to the rest of the matrix (see Figure 10b and Figure S7); therefore, it is highly possible to form a homogeneous interface of the PR pattern when the fraction of the dissoluble chains increases. To further investigate the underlying background of the LER inversion occurring at the threshold PAG concentration (10.49 wt %), the spatial distribution of the resist components was examined for each PAG loading case (5.68−30.12 wt %). Interestingly, a reciprocal influence of PAG loading was found for LER performance: (1) a closely and homogeneously distributed acid from the protecting group (positive effect) and (2) acid-clustering phenomenon (negative effect). As the positive influence on LER due to PAG loading, we characterized the relative molecular packing data for the photoacids and deprotected tBOCSts; it was derived based on the probability distribution of the distance (RtBOCSt‑acid, see Figure 11a) between the individual protecting groups of the dissoluble PR chains (28−36% polymer loss) and the nearest activated acids. The positions of the oxygen of the carbonyl group and the sulfur of the detached triflate anion were collected from the MD unit cell for measuring the RtBOCSt‑acid distance. The probability distribution of RtBOCSt‑acid was quantified in terms of peak height, location, and full width at

Figure 9. Predicted morphology of PR line pattern (LER: 1.63 nm; half pitch: 4.56 nm; line/space width ratio: 1/1.46). (a) Atomic configuration. (b) Cross section of the cleaved interface.

showed insignificant dependence on pattern size within tens of nanometer scale (LER deviation between sub-10 nm and sub20 nm model: 0.05−0.22 nm; see Figure S6), which indicates that our computational model is a robust design tool to predict interfacial property (LER) of PR pattern. Finally, we predicted the sub-10 nm PR line pattern morphology at the molecular level that originates from the entire phototriggered chemical reaction involving PAG dissociation by electron attachment, acid diffusion, and deprotection. In particular, the acid diffusion-coupled chemical reaction was reproduced in a single protection molecular unit and will facilitate the investigation of the dependence of LER on the spatial distribution of material ingredients (polymer chain, PAG, and acid), which represents a major step forward from the currently reported computational work9−12 based on a homogeneous bulk model.

4. DISCUSSION: PAG LOADING EFFECT One of the major design parameters of the resist component is the PAG concentration, which is directly correlated to the acid distribution that in turn governs the chemical reaction occurring in the matrix. Some experimental studies reported a monotonic decrease in the LER with increasing PAG loading up to about 10−15 wt %.14−17 On the contrary, the roughness of the pattern increased under an extremely high amount of PAG, above 20 wt %.13 Although they reported the threshold value of the concentration, to the best of our knowledge, the physical reason for this phenomenon has not yet been revealed despite its critical impact on LER. As a result of the absence of a fundamental understanding of the PAG loading effect, we aim to predict the trend in LER with varying PAG concentration and rationalize the underlying physics of this tendency at the molecular level. The presented multiscale simulation was systematically conducted for varying amount of PAG (Figure 5, 5.68−30.12 wt %), and 10−12 unit cells having different molecular distributions were constructed for each PAG loading condition to eliminate statistical uncertainties in the LER values. Additionally, the critical PEB time (Table 2) was modulated to obtain the PR pattern for various polymer loss percentages (12−56%), which were I

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules

Figure 10. PAG loading effect on LER. (a) LER variation according to PAG concentration 5.68−30.12 wt %. Polymer loss indicates the mole fraction of the dissoluble chains in the matrix. (b) Dissoluble chains (solubility switch: red → yellow → sky-blue) with varying PAG amount 5.68− 30.12 wt %. The blue ovals represent the location of activated photoacids.

Figure 11. Reciprocal influence of PAG loading. (a) Probability distribution of RtBOCSt‑acid (the distance between each protection group of the dissoluble chains and the nearest acid) according to PAG loading condition 5.68−30.12 wt %. (b) Acid clustering density (gacid) for different PAG concentrations 5.68−30.12 wt %. Vreal and Videal indicate the volume of the mid isosurface region (facid.n: 0.1; see Figure 7a) of the initial local acid concentration for the real- and well-dispersed cases, respectively. C (1.3) is a normalization constant.

RtBOCSt‑acid distribution demonstrates that a much higher proportion of photoacids is located at a closer distance from the protecting groups of the dissoluble polymer chains as a higher PAG loading condition is applied. In addition, the decrease in the fwhm indicates a more homogeneous spatial distribution of the acid molecules with increasing amount of PAGs. Thus, a compact and uniform distribution of the acids from the protecting groups of the dissoluble PR chains would result in a smoother interfacial surface. Owing to the adverse effect of PAG loading, we discovered the agglomeration of photoacids, which could be a result of the experimentally reported PAG aggregation and segregation44−47 in the CAR matrix. To quantify the degree of acid aggregation at the nanometer level, we derived the clustering density (gacid, see Figure 11b) that was calculated from the volume fraction of the mid isosurface of the initial acid concentration ( facid.n: 0.1; tPEB: 0 s) that was between those of the current FDM unit cell (Vreal) and a well-dispersed case (Videal). A normalization constant (c: 1.3) was also adopted to make the clustering density unity when the photoacids were perfectly agglomerated at a distance of 0.33 nm between each other (see Figure S8). Note that the photoacids are aggregated with each other in the 1.00−2.53 nm scale, and their clustering behavior

half-maximum (fwhm) using the Gaussian function (see Table 3). Table 3. Characterization of the Probability Distribution (Figure 11a) of RtBOCSt‑acid PAG concn (wt %)

peak height (nm−1)

peak location (nm)

fwhm (nm)

5.68 8.15 10.49 20.03 30.12

0.034 0.037 0.040 0.053 0.057

1.65 1.49 1.45 1.15 1.05

2.12 1.93 1.84 1.42 1.24

One interesting aspect of Figure 11a is that the probability density curve of the RtBOCSt‑acid distance is left-shifted (peak location: 1.65 → 1.05 nm), and the height of the peak grows (probability density: 0.034 → 0.057 nm−1) when the amount of PAG molecules increases from 5.68 to 30.12 wt %. In addition, the standard deviation of the probability distribution of RtBOCSt‑acid narrows down, as indicated by the shrinkage in fwhm (fwhm 2.12 → 1.24 nm as PAG content increases from 5.68 to 30.12 wt %). The observed probabilistic change in the J

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules intensifies (gacid 81.4% ↑) with increasing PAG concentration from 5.68 to 30.12 wt %, as shown in Figure 11b. The predicted increment in the acid clustering phenomenon would trigger a locally heterogeneous chemical reaction in the exposed region under a highly condensed acid concentration at a few nanometers scale. Thus, to identify the homogeneity of the chemical reaction occurring in a EUV-exposed region, the probability distribution of the deprotection rate within the resist was calculated for 5.68−30.12 wt % of PAG (Figure 12).

to 10.49 wt % and the heterogeneity in the chemical reaction rate is intensified under extremely high PAG amounts, above the threshold concentration range (10.49−30.12 wt %). The observed increment of the uniformity (5.68−10.49 wt %) in the deprotection rates of the protecting groups demonstrates that the densely packed acids from the dissoluble chains (Figure 11a) result in homogeneous deprotection in the exposure domain under high PAG concentrations (5.68−10.49 wt %). However, as the threshold amount is exceeded, the growth of acid aggregation (Figure 11b) causes chemically heterogeneous domains, which is shown by the fwhm broadening (10.49−30.12 wt %) in the probability distribution curve of the deprotection rate. Finally, the inversion in the homogeneity of the deprotection in the exposed area was clearly detected in a single protecting molecular unit, which had not been achieved in previous theoretical studies;9−12 this rationalizes the existence of the reciprocal influence of PAG loading (Figure 11) that induces LER shifting at the critical PAG content (10.49 wt %; see Figure 10). For more sophisticated theoretical model of lithography process, several factors such as electron scattering, interaction with developer solvent, microstructure-dependent acid diffusion, and incorporation of base quencher should be reflected in the future. First, application of the electron scattering dynamics onto current photoinduced chemical reaction model would enable more realistic prediction of the acid activation ratio, and a number of molecular-to-mesoscale simulation studies48,49,56−60 provide in-depth theoretical background for electron transfer/scattering physics. Second, inclusion of explicit developer solvent molecule will be performed for improving the description of development process from the current dissoluble chain removal method, and several molecular/stochastic simulations61−65 will be a useful guideline for the modeling. In addition, acid diffusion in PR matrix (penetrant diffusion in glassy polymer) should be further investigated because diffusivity of the penetrant highly depends on microstructure of the matrix (e.g., free volume, glass transition temperature, Kuhn length of polymer chain, Kuhn scale diameter of penetrant, and attraction strength between polymer and penetrant).66−68 As a preliminary examination, free volume of PR was estimated with varying PAG concentration (5.68− 30.12 wt % of resist), and the slight increase of the free volume was observed (fractional free volume change: 3.51−3.94%; see Table S5). Thus, the free volume would have minor contribution on acid diffusivity change along PAG loading condition, but other factors (e.g., glass transition temperature and Kuhn length of polymer matrix, Kuhn scale diameter of penetrant, and attraction strength between polymer and penetrant) should be also considered to fully identify detail physical relation between the PAG concentration and acid diffusivity in the future. Lastly, incorporation of the base quencher should be followed for constructing more realistic PR model, and it will allow a smoother interfacial surface69−71 than that of the current two-component system (polymer chain and PAG). It is because the addition of quencher would prevent the observed acid diffusion from the exposed-to-unexposed domain for 0− 0.6 s (Figure 7b), which induces steep protection ratio profile at the interface between the irradiated and nonirradiated region (chemical contrast ↑).

Figure 12. Probability distribution of the deprotection rate of each protecting group in the exposure domain. PAG loading is 5.68−30.12 wt % of resist.

The deprotection rate was obtained from the protection ratio curve of the individual tBOCSt within 0−6 s of tPEB when the chemical gradient is maximized, and the tBOCSt groups located in the exposure domain were targeted. Gaussian function was used to characterize the probability distribution in terms of peak height, location, and fwhm (Table 4). Table 4. Characterization of the Probability Distribution (Figure 12) of the Deprotection Rate of Each Protecting Group in the Exposure Domain PAG concn (wt %)

peak height (s)

peak location (s−1)

fwhm (s−1)

5.68 8.15 10.49 20.03 30.12

0.040 0.045 0.056 0.053 0.048

0.064 0.083 0.087 0.117 0.120

0.065 0.063 0.051 0.060 0.074

One striking feature observed in Figure 12 is that the fwhm of the probability density curve decreases from 0.065 to 0.051 s−1, and the peak height increases from 0.040 to 0.056 s with increasing PAG amount in the range 5.68−10.49 wt %. In the range 10.49−30.12 wt % of PAG, on the contrary to the observed probabilistic trend in 5.68−10.49 wt %, the standard deviation of the distribution curve increases (fwhm: 0.051 → 0.074 s−1) and the peak becomes lower (height: 0.056 → 0.048 s). Additionally, the location of the peak is monotonically right-shifted (0.064 → 0.120 s−1) by adding PAG molecules (5.68−30.12 wt %), which results from the acceleration of the chemical reaction in the overall protecting groups with increasing PAG loading. The presented change in the probability distribution indicates that the difference among the deprotection rates of tBOCSts in the exposed area becomes smaller as the PAG amount is increased from 5.68 K

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules

5. CONCLUSION In this study, we performed a DFT-MD-FDM combined multiscale simulation to investigate a phototriggered chemical reaction and predict the morphology of a sub-10 nm PR line pattern. The presented theoretical model reflects the change in the electronic structure of the reactants and the spatial distribution of resist components at the molecular level; it also permits the observation of the acid diffusion-coupled chemical reaction in a single protection molecular unit and the solubility switch of individual polymers. As the mathematical index for representing the progress of an acid-catalyzed reaction, a protection ratio was assigned to all the pendant groups and tracked to distinguish the dissoluble PR chains from the insoluble ones against a developer as the PEB time elapsed. The results indicated that a highly condensed acid concentration rapidly spreads, though limited to the exposure domain, within a short period (1% of the total PEB time), and gradually diffuses from the exposed to unexposed region to form an equilibrium state over the remaining PEB time. Owing to the influence of the trend in acid diffusion, preferential deprotection occurs in a few pendant groups surrounding the acid molecules within a distance of 0.74 nm when a high acid concentration is confined to the EUV exposure area during the early stages of the PEB process (1% of the total PEB time). The generated chemical gradient in the protecting groups lasts until the process is terminated and predominantly affects the solubility switching tendency of each polymer chain. One crucial contribution of the presented theoretical framework is downscaling the deprotection unit from the continuum to the molecular level, which facilitates the examination of LER performance according to the spatial distribution of material ingredients. In this work, we investigated the PAG loading effect and predicted the LER inversion at the critical threshold PAG amount, which showed good agreement with the experiments, both qualitatively and quantitatively. Such a LER variation was explained by the reciprocal effect of PAG loading: (1) spatially compact and homogeneous packing of the photoacids from the protecting groups and (2) acid-clustering behavior. The two opposing effects are combined to result in a threshold amount of PAG for the surface roughness enhancement of a PR pattern sidewall. The homogeneity of the chemical reaction at the molecular level was measured from the probability distribution of the deprotection rates of each pendant group, and an increase and a continuous decline in homogeneity along PAG concentration were observed in the exposure area, which rationalize the existence of the reciprocal influence of PAG loading. To build the presented acid diffusion-deprotection model based on the EUV exposure step, the PAG dissociation reaction was examined by DFT and MD simulation. The charge redistribution and dissociation energy curve of PAG due to secondary electron attachment were obtained, and the PAG dissociation was successfully reproduced in a MD unit cell within a few nanoseconds. Based on the detached anion part of the PAG, the normalized acid local concentration was quantified and assigned to each node of the FDM model. The molecular geometry of the resist components was mapped onto the FDM model using the MD simulation. It provided not only the initial distribution of the photoacids and pendant groups but also the final geometry of the line edge surface of the fabricated PR pattern. The deprotection rate constant of

the rate-determining step was obtained to formulate the governing equation of the FDM by DFT calculation. We believe that our multiscale model will play a role in massive combinatorial research on various PR ingredients and external process conditions and provide the experimental field with an additional tool for a more effective material design of nextgeneration EUV resists on the sub-10 nm scale.



ASSOCIATED CONTENT

S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acs.macromol.8b01290. Figures S1−S8 and Tables S1−S5 (PDF) Video S1 (AVI) Video S2 (AVI)



AUTHOR INFORMATION

Corresponding Authors

*E-mail [email protected] (M.C.). *E-mail [email protected] (B.L.). ORCID

Maenghyo Cho: 0000-0003-3942-9261 Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS This work was supported by a grant from the National Research Foundation of Korea (NRF), funded by the Korean government (MSIP) (Grant 2012R1A3A2048841) as well as by the academic-industrial collaboration project with Samsung Electronics Co.



ABBREVIATIONS EUVL, extreme ultraviolet lithography; PR, photoresist; LER, line edge roughness; PEB, postexposure bake; PAG, photoacid generator; RLS, resolution/LER/sensitivity; DFT, density functional theory; MD, molecular dynamics; FDM, finite difference method; CAR, chemically amplified resist; TPS-tf, triphenylsulfonium triflate; PDOS, projected density of states; PES, potential energy surface; MO, molecular orbital; TS, transition state; PCFF, polymer consistent force field; MM, molecular mechanics; LJ, Lennard-Jones; fwhm, full width at half-maximum.



REFERENCES

(1) Li, L.; Liu, X.; Pal, S.; Wang, S.; Ober, C. K.; Giannelis, E. P. Extreme Ultraviolet Resist Materials for sub-7 nm Patterning. Chem. Soc. Rev. 2017, 46, 4855−4866. (2) Schuegraf, K.; Abraham, M. C.; Brand, A.; Naik, M.; Thakur, R. Semiconductor Logic Technology Innovation to Achieve Sub-10 nm Manufacturing. IEEE J. Electron Devices Soc. 2013, 1, 66−75. (3) Kozawa, T.; Tagawa, S. Radiation Chemistry in Chemically Amplified Resists. Jpn. J. Appl. Phys. 2010, 49, 030001-1−030001-19. (4) Lin, E. K.; Soles, C. L.; Goldfarb, D. L.; Trinque, B. C.; Burns, S. D.; Jones, R. L.; Lenhart, J. L.; Angelopoulos, M.; Willson, C. G.; Satija, S. K.; Wu, W.-L. Direct Measurement of the Reaction Front in Chemically Amplified Photoresists. Science 2002, 297, 372−375. (5) De Silva, A.; Felix, N. M.; Ober, C. K. Molecular Glass Resists as High-Resolution Patterning Materials. Adv. Mater. 2008, 20, 3355− 3361. (6) Li, L.; Chakrabarty, S.; Jiang, J.; Zhang, B.; Ober, C. K.; Giannelis, E. P. Solubility Studies of Inorganic-organic Hybrid L

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules Nanoparticle Photoresists with Different Surface Functional Groups. Nanoscale 2016, 8, 1338−1343. (7) Li, L.; Chakrabarty, S.; Spyrou, K.; Ober, C. K.; Giannelis, E. P. Studying the Mechanism of Hybrid Nanoparticle Photoresists: Effect of Particle Size on Photopatterning. Chem. Mater. 2015, 27, 5027− 5031. (8) Satyanarayana, V.; Kessler, F.; Singh, V.; Scheffer, F. R.; Weibel, D. E.; Ghosh, S.; Gonsalves, K. E. Radiation-sensitive Novel Polymeric Resist Materials: Iterative Synthesis and their EUV Fragmentation Studies. ACS Appl. Mater. Interfaces 2014, 6, 4223− 4232. (9) Kang, S.; Wu, W.; Choi, K. W.; De Silva, A.; Ober, C. K.; Prabhu, V. M. Characterization of the Photoacid Diffusion Length and Reaction Kinetics in EUV Photoresists with IR Spectroscopy. Macromolecules 2010, 43, 4275−4286. (10) Vogt, B. D.; Kang, S.; Prabhu, V. M.; Lin, E. K.; Satija, S. K.; Turnquest, K.; Wu, W.-L. Measurements of the Reaction-Diffusion Front of Model Chemically Amplified Photoresists with Varying Photoacid Size. Macromolecules 2006, 39, 8311−8317. (11) Houle, F. A.; Hinsberg, W. D.; Sanchez, M. I. Kinetic Model for Positive Tone Resist Dissolution and Roughening. Macromolecules 2002, 35, 8591−8600. (12) Jakatdar, N.; Bao, J.; Spanos, C. J.; Subramanian, R.; Rangarajan, B. Physical Modeling of Deprotection Induced Thickness Loss. Proc. SPIE 1999, 3678, 275−282. (13) Higgins, C. D.; Szmanda, C. R.; Antohe, A.; Denbeaux, G.; Georger, J.; Brainard, R. L. Resolution, Line-Edge Roughness, Sensitivity Trade-off, and Quantum Yield of High Photo Acid Generator Resists for Extreme Ultraviolet Lithography. Jpn. J. Appl. Phys. 2011, 50, 036504-1−036504-8. (14) Pawloski, A. R.; Nealey, P. F. Effect of Photoacid Generator Concentration on Sensitivity, Photoacid Generation, and Deprotection of Chemically Amplified Resists. J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 2002, 20, 2413−2420. (15) Choi, K.-W.; Prabhu, V. M.; Lavery, K. A.; Lin, E. K.; Wu, W.L.; Woodward, J. T.; Leeson, M. J.; Cao, H. B.; Chandhok, M.; Thompson, G. Effect of Photoacid Generator Concentration and Developer Strength on the Patterning Capabilities of a Model EUV Photoresist. Proc. SPIE 2007, 6519, 651943−1−651943−9. (16) Robinson, A.; Lawson, R. Materials and Processes for Next Generation Lithography, 1st ed.; Elsevier: 2016; Vol. 11, pp 46−51. (17) Feldman, M. Nanolithography, 1st ed.; Elsevier: 2013; pp 520− 523. (18) Naulleau, P. P.; Anderson, C. N.; Baclea-an, L.-M.; Denham, P.; George, S.; Goldberg, K. A.; Jones, G.; McClinton, B.; Miyakawa, R.; Rekawa, S.; Smith, N. Critical Challenges for EUV Resist Materials. Proc. SPIE 2011, 7972, 797202-1−797202-10. (19) Ekinci, Y.; Vockenhuber, M.; Hojeij, M.; Wang, L.; Mojarad, N. Evaluation of EUV Resist Performance with Interference Lithography Towards 11 nm Half-pitch and Beyond. Proc. SPIE 2013, 8679, 867910-1−867910-11. (20) Mojarad, N.; Gobrecht, J.; Ekinci, Y. Beyond EUV Lithography: A Comparative Study of Efficient Photoresists’ Performance. Sci. Rep. 2015, 5, 9235. (21) Frisch, M. J.; et al. Gaussian 09, Revision E.01; Gaussian Inc.: Wallingford, CT, 2009. (22) Lu, T.; Chen, F. Multiwfn: A Multifunctional Wavefunction Analyzer. J. Comput. Chem. 2012, 33, 580−592. (23) Zhao, Y.; Truhlar, D. G. The M06 Suite of Density Functionals for Main Group Thermochemistry, Thermochemical Kinetics, Noncovalent Interactions, Excited States, and Transition Elements: Two New Functionals and Systematic Testing of Four M06-class Functionals and 12 Other Functionals. Theor. Chem. Acc. 2008, 120, 215−241. (24) Curtiss, L. A.; McGrath, M. P.; Blaudeau, J.-P.; Davis, N. E.; Binning, R. C., Jr.; Radom, L. Extension of Gaussian-2 Theory to Molecules Containing Third-row Atoms Ga-Kr. J. Chem. Phys. 1995, 103, 6104−6113.

(25) Georgiadou, D. G.; Vasilopoulou, M.; Palilis, L. C.; Petsalakis, I. D.; Theodorakopoulos, G.; Constantoudis, V.; Kennou, S.; Karantonis, A.; Dimotikali, D.; Argitis, P. All-Organic Sulfonium Salts Acting as Efficient Solution Processed Electron Injection Layer for PLEDs. ACS Appl. Mater. Interfaces 2013, 5, 12346−12354. (26) Breneman, C. M.; Wiberg, K. B. Determining Atom-centered Monopoles from Molecular Electrostatic Potentials − the Need for High Sampling Density in Formamide Conformational-analysis. J. Comput. Chem. 1990, 11, 361−373. (27) Ichikawa, R.; Hata, M.; Okimoto, N.; Oikawa-Handa, S.; Tsuda, M. Acid-Catalyzed Deprotection Mechanism of tert-Butyloxycarbonyloxy Polymers in Chemically Amplified Resists. J. Polym. Sci., Part A: Polym. Chem. 1998, 36, 1035−1042. (28) Hanggi, P.; Talkner, P.; Borkovec, M. Reaction-rate Theory: Fifty Years after Kramers. Rev. Mod. Phys. 1990, 62, 251−341. (29) Kim, M.; Yun, J.-H.; Cho, M. Light Penetration-coupled Photoisomerization Modeling for Photodeformation of Diarylethene Single Crystal: Upscaling Isomerization to Macroscopic Deformation. Sci. Rep. 2017, 7, 967. (30) Becke, A. D. Density-functional Thermochemistry. III. The Role of Exact Exchange. J. Chem. Phys. 1993, 98, 5648−5652. (31) Lee, C. T.; Yang, W. T.; Parr, R. G. Development of the ColleSalvetti Correlation-energy Formula into a Functional of the Electron Density. Phys. Rev. B: Condens. Matter Mater. Phys. 1988, 37, 785− 789. (32) Li, X.; Frisch, M. J. Energy-represented DIIS within a Hybrid Geometry Optimization Method. J. Chem. Theory Comput. 2006, 2, 835−839. (33) Peng, C.; Ayala, P. Y.; Schlegel, H. B.; Frisch, M. J. Using Redundant Internal Coordinates to Optimize Equilibrium Geometries and Transition States. J. Comput. Chem. 1996, 17, 49−56. (34) Pople, J. A.; Head-Gordon, M.; Raghavachari, K. Quadratic Configuration Interaction − a General Technique for Determining Electron Correlation Energies. J. Chem. Phys. 1987, 87, 5968−5975. (35) Dassault Systemes. Materials Studio 2016; BIOVIA Inc.: San Diego, CA, 2016. (36) Sun, H. Force Field for Computation of Conformational Energies, Structures, and Vibrational Frequencies of Aromatic Polyesters. J. Comput. Chem. 1994, 15, 752−768. (37) Theodorou, D. N.; Suter, U. W. Detailed Molecular Structure of a Vinyl Polymer Glass. Macromolecules 1985, 18, 1467−1478. (38) Lawson, R. A.; Henderson, C. L. Mesoscale Simulation of Molecular Resists: The Effect of PAG Distribution Homogeneity on LER. Microelectron. Eng. 2009, 86, 741−744. (39) Kozawa, T.; Tagawa, S.; Cao, H. B.; Deng, H.; Leeson, M. J. Acid Distribution in Chemically Amplified Extreme Ultraviolet Resist. J. Vac. Sci. Technol. B 2007, 25, 2481−2485. (40) Okamoto, K.; Kozawa, T.; Natsuda, K.; Seki, S.; Tagawa, S. Formation of Intramolecular Poly(4-hydroxystyrene) Dimer Radical Cation. J. Phys. Chem. B 2008, 112, 9275−9280. (41) Okamoto, K.; Yamamoto, H.; Kozawa; Fujiyoshi, R.; Umegaki, K. Pulse Radiolysis Study of Polystyrene-based Polymers with Added Photoacid Generators: Reaction Mechanism of Extreme-ultraviolet and Electron-beam Chemically Amplified Resist. Jpn. J. Appl. Phys. 2015, 54, 026501-1−026501-6. (42) Zuniga, M.; Neureuther, R. Reaction-diffusion Modeling and Simulations in Positive Deep Ultraviolet Resists. J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 1995, 13, 2957−2962. (43) Odian, G. Principles of Polymerization, 4th ed.; Wiley: 2004; pp 228−232. (44) Fedynyshyn, T. H.; Astolfi, D. K.; Cabral, A.; Roberts, J. PAG Segregation During Exposure Affecting Innate Material Roughness. Proc. SPIE 2007, 6519, 65190X-1−65190X-10. (45) Woodward, J. T.; Hwang, J.; Prabhu, V. M.; Choi, K.-W.; et al. Hunting the Origins of Line Width Roughness with Chemical Force Microscopy. AIP Conf. Proc. 2007, 931, 413−418. (46) Lenhart, J. L.; Jones, R. L.; Lin, E. K.; Soles, C. L.; Wu, W.-L.; Fischer, D. A.; Sambasivan, S.; Goldfarb, D. L.; Angelopoulos, M. Probing Surface and Bulk Chemistry in Resist Films Using Near Edge M

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX

Article

Macromolecules X-ray Absorption Fine Structure. J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 2002, 20, 2920−2926. (47) Jablonski, E. L.; Prabhu, V. M.; Sambasivan, S.; Fischer, D. A.; Lin, E. K.; Goldfarb, D. L.; Angelopoulos, M.; Ito, H. Surface and Bulk Chemistry of Chemically Amplified Photoresists: Segregation in Thin Films and Environmental Stability Issues. Proc. SPIE 2004, 5376, 302−311. (48) Pret, A. V.; Graves, T.; Blankenship, D.; Biafore, J. J. Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists. Proc. SPIE 2017, 10146, 1014609-1− 1014609-15. (49) Thackeray, J. W.; Wagner, M.; Kang, S. J.; Biafore, J. Understanding the Role of Acid vs. Electron Blur in EUV Resist Materials. J. Photopolym. Sci. Technol. 2010, 23, 631−637. (50) Okamoto, K.; Matsuda, R.; Yamamoto, H.; Kozawa, T.; Tagawa, S.; Fujiyoshi, R.; Sumiyoshi, T. Deprotonation of Poly(4hydroxystyrene) Intermediates: Pulse Radiolysis Study of Extreme Ultraviolet and Electron Beam Resist. Jpn. J. Appl. Phys. 2013, 52, 06GC04-1−06GC04-5. (51) Natsuda, K.; Kozawa, T.; Okamoto, K.; Tagawa, S. Protonation Sites in Chemically Amplified Resists for Electron-Beam Lithography. Jpn. J. Appl. Phys. 2006, 45, L1256−L1258. (52) Glendening, F. D.; Reed, A. E.; Carpenter, J. E.; Weinhold, F. NBO, Version 3.1. (53) Oku, K.; Watanabe, H.; Kubota, M.; Fukuda, S.; Kurimoto, M.; Tsujisaka, Y.; Komori, M.; Inoue, Y.; Sakurai, M. NMR and Quantum Chemical Study on the OH•••π and CH•••O Interactions between Trehalose and Unsaturated Fatty Acids: Implication for the Mechanism of Antioxidant Function of Trehalose. J. Am. Chem. Soc. 2003, 125, 12739−12748. (54) Li, Q.; An, X.; Gong, B.; Cheng, J. Cooperativity between OH•••O and CH•••O Hydrogen Bonds Involving Dimethyl Sulfoxide-H2O-H2O Complex. J. Phys. Chem. A 2007, 111, 10166− 10169. (55) Alabugin, I. V. Stereoelectronic Interactions in Cyclohexane, 1,3-Dioxane, 1,3-Oxathiane, and 1,3-Dithiane: W-Effect, σ C−X ↔ σ *C−H Interactions, Anomeric EffectsWhat Is Really Important? J. Org. Chem. 2000, 65, 3910−3919. (56) Wu, P. J.; Wang, Y. F.; Chen, W. C.; Wang, C. W.; Cheng, J.; Chang, V.; Chang, C. Y.; Lin, J.; Cheng, Y. C. Nanoscale inhomogeneity and photoacid generation dynamics in extreme ultraviolet resist materials. Proc. SPIE 2018, 10586, 105861O. (57) Tsikrikas, N.; Drygiannakis, D.; Patsis, G. P.; Raptis, I.; Stavroulakis, S.; Voyiatzis, E. Stochastic Simulation of Material and Process Effects on the Patterning of Complex Layouts. Jpn. J. Appl. Phys. 2007, 46, 6191−9197. (58) Yasuda, M.; Sakai, H.; Takai, R.; Kawata, H.; Hirai, Y. Molecular simulation of pattern formation in electron beam lithography. Microelectron. Eng. 2013, 112, 287−290. (59) Michishita, K.; Yasuda, M.; Kawata, H.; Hirai, Y. Electron beam lithography simulation for sub-10nm patterning. Jpn. J. Appl. Phys. 2014, 53, 06JB02-1−06JB02-4. (60) Yasuda, M.; Hitomi, S.; Kawata, H.; Hirai, Y. Multiscale Simulation of the Development Process in Electron Beam Lithography. J. Photopolym. Sci. Technol. 2017, 30, 205−209. (61) Patsis, G. P.; Gogolides, E. Material and process effects on lineedge-roughness of photoresists probed with a fast stochastic lithography simulator. J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 2005, 23, 1371−1375. (62) Patsis, G. P. Monte Carlo study of surface and line-width roughness of resist film surfaces during dissolution. Math. Comput. Simulation 2005, 68, 145−156. (63) Patsis, G. P. Stochastic simulation of thin photoresist film dissolution: a dynamic and a quasi-static dissolution algorithm for the simulation of surface and line-edge roughness formation. Polymer 2005, 46, 2404−2417. (64) Patsis, G. P.; Drygiannakis, D.; Raptis, I. Detailed resist film modeling in stochastic lithography simulation for line-edge roughness quantification. Microelectron. Eng. 2010, 87, 989−992.

(65) Mohammad, M. A.; Santo, K. P.; Dew, S. K.; Stepanova, M. Study of the interaction of polymethylmethacrylate fragments with methyl isobutyl ketone and isopropyl alcohol. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2012, 30, 06FF11-1−06FF11-7. (66) Vrentas, J. S.; Vrentas, C. M.; Faridi, N. Effect of Solvent Size on Solvent Self-Diffusion in Polymer−Solvent Systems. Macromolecules 1996, 29, 3272−3276. (67) Hahn, O.; Mooney, D. A.; Muller-Plathe, F.; Kremer, K. A new mechanism for penetrant diffusion in amorphous polymers: Molecular dynamics simulations of phenol diffusion in bisphenol-A-polycarbonate. J. Chem. Phys. 1999, 111, 6061−6068. (68) Zhang, R.; Schweizer, K. S. Statistical Mechanical Theory of Penetrant Diffusion in Polymer Melts and Glasses. Macromolecules 2016, 49, 5727−5739. (69) Ushirogouchi, T.; Asakawa, K.; Nakase, M.; Hongu, A. Mechanism of amine additive in chemically amplified resist visualized by using Monte-Carlo simulation. Proc. SPIE 1995, 2438, 609−616. (70) Hinsberg, W.; Houle, F.; Sanchez, M.; Morrison, M.; Wallraff, G.; Larson, C.; Hoffnagle, J.; Brock, P.; Breyta, G. Effect of Resist Components on Image Spreading During Postexposure Bake of Chemically Amplified Resists. Proc. SPIE 2000, 3999, 148−160. (71) Michaelson, T. B.; Pawloski, A. R.; Acheta, A.; Nishimura, Y.; Willson, C. G. The Effects of Chemical Gradients and Photoresist Composition on Lithographically Generated Line Edge Roughness. Proc. SPIE 2005, 5753, 368−379.

N

DOI: 10.1021/acs.macromol.8b01290 Macromolecules XXXX, XXX, XXX−XXX