Nanopatterning via Solvent Vapor Annealing of Block Copolymer Thin

Mater. , 2017, 29 (1), pp 176–188. DOI: 10.1021/acs.chemmater.6b02967. Publication Date (Web): September 9, 2016 ... The self-assembly of block copo...
1 downloads 5 Views 21MB Size
Subscriber access provided by EPFL | Scientific Information and Libraries

Review

Nanopatterning via Solvent Vapor Annealing of Block Copolymer Thin Films Cong Jin, Brian C. Olsen, Erik J. Luber, and Jillian M. Buriak Chem. Mater., Just Accepted Manuscript • DOI: 10.1021/acs.chemmater.6b02967 • Publication Date (Web): 09 Sep 2016 Downloaded from http://pubs.acs.org on September 9, 2016

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

Chemistry of Materials is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Submission for special issue on methods and protocols for materials chemistry

Nanopatterning via Solvent Vapor Annealing of Block Copolymer Thin Films Cong Jin,1,2 Brian C. Olsen, 1,2 Erik J. Luber, 1,2 Jillian M. Buriak1,2*

1

Department of Chemistry, University of Alberta, 11227 Saskatchewan Drive, Edmonton, AB

T6G 2G2, Canada 2

National Institute for Nanotechnology, National Research Council Canada, 11421

Saskatchewan Drive, Edmonton, AB T6G 2M9, Canada

1 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Abstract

The self-assembly of block copolymers to generate nanopatterns is of great interest as an inexpensive approach to sub-20 nm lithography. Compared to thermal annealing, solvent vapor annealing has several intriguing advantages with respect to the annealing of thin films of block copolymers, particularly for polymers with high interaction parameters, χ, and high molecular weights. In this methods paper, we describe a controlled solvent vapor flow annealing system with integrated in-situ microscopy and laser reflectometry, as well as a feedback loop that automatically controls the solvent vapor flow rate, based upon real-time calculations of the difference between thickness setpoint and the observed film thickness. The feedback loop enables precise control of swelling and deswelling of the polymer thin film, the degree of swelling at the dwell period, and preprogrammed complex multi-step annealing profiles. The insitu microscope provides critical insight into the morphological evolution of the block copolymer thin films over a broad area of the sample, revealing critical information about terraced phases, on the scale of tens and hundreds of microns, during the annealing process. This device could be a powerful tool for understanding and optimizing solvent annealing by providing multiple sources of in-situ information, at both the micro- and nanoscale.

Introduction Nanolithography is the key technology for patterning of semiconductor devices, and has, up to this point, relied upon remarkable advances in photolithography.1,2 Sub-20 nm photolithography is, however, facing its most extreme physical limits in terms of a number of metrics, including cost.3 The self-assembly of block copolymers (BCP) is of great interest for producing 2 ACS Paragon Plus Environment

Page 2 of 53

Page 3 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

nanopatterns on semiconductors, as it is compatible with existing semiconductor manufacturing, and could enable low-cost, high throughput manufacturing.4–13 Along with extreme UV lithography, electron beam lithography, and nanoimprint lithography, block copolymer selfassembly, referred to as directed self-assembly (DSA) in the International Technology Roadmap for Semiconductors (ITRS), is being considered as a viable alternative patterning strategy for next generation lithography.14 Owing to the nature of the bottom-up processing, block copolymer self-assembly is able to generate large scale periodic features with sizes as small as 3 nm, at low cost.15–20 Block copolymers themselves are relatively inexpensive materials, and comprise a well-studied family of polymers with two or more homopolymer segments (blocks), connected via covalent bonds.21,22 The feature size, spacing, and shapes of the resulting self-assembled nanostructures can be tuned by choosing block copolymers with varying chain lengths, molecular weights, and chemical composition.6,21 After two decades of development, block copolymer self-assembly in thin films has been shown to be capable of generating patterns of interest to the semiconductor industry, such as ordered hexagonal dot arrays, square arrays, bends, jogs, circles, and Tjunctions.7,23–30 A few examples of the many nanopatterns accessible via block copolymer selfassembly are shown in Figure 1. These thin film block copolymer nanopatterns can then be transferred to a substrate surface via etching, or deposition.31–36 Fabrication of field effect transistors,37–40 contact hole applications,41–45 phase change memory,46,47 and bit patterned media48–51 using block copolymer self-assembly technology has been demonstrated. In addition to their obvious applicability to semiconductor device applications, self-assembled block copolymer nanopatterned films are also of great interest for applications in filtration,52,53 tissue and cellular interfacing,54–56 plasmonics,57–59, photonics,60 and sensing.61 3 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 53

Figure 1. Annealed BCP thin films on patterned substrates. (a) Pt line patterns formed from PSb-P2VP (23.5k-10.4k)-thin films. (b) PS-b-PMMA/PS/PMMA blend forms a 90º bend on a chemically patterned surface. Adapted with permission from ref 23. Copyright 2005 from the American Association for the Advancement of Science. (c) Pt concentric ring patterns formed from PS-b-P2VP (50k-16.5k). Adapted with permission from ref 33. Copyright 2008 from the American Chemical Society. (d) Ordered silica dots formed from PS-b-PDMS (51.5 kg/mol, fPDMS = 16.5%), on a patterned substrate formed from e-beam lithography of HSQ. Adapted with permission from ref 62. Copyright 2005 from the American Association for the Advancement of Science.

4 ACS Paragon Plus Environment

Page 5 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Table 1. Commonly used block copolymers used for self-assembly in thin films for nanopatterning applications. Abbreviation

PS-b-P2VP

Full Name polystyrene-block-poly(2-vinylpyridine)

PS-b-P4VP

polystyrene-block-poly(4-vinylpyridine)

Chemical Structure n

m

N

n

m

N

PS-b-PMMA

polystyrene-block-poly(methyl methacrylate) n

m O

PS-b-PDMS

polystyrene-block-polydimethylsiloxane

Si m

PS-b-PEO

polystyrene-block-poly(ethylene oxide)

O

O n

O m

PS-b-PLA

n

polystyrene-block-poly(d,l-lactide)

O n

m O

The repertoire of patterns, shapes, and order that can be accessed through block copolymer self-assembly is described in several reviews on the subject.5,6,21,25,25,27,31,48,63–70 The starting point for the prediction of an equilibrium product of self-assembly of a given block copolymer would be the phase diagram, if known.5,63–66,71–73 Well-studied diblock copolymers that represent the workhorse materials for much of the nanopatterning work in the literature are shown in Table 1. The phase behavior of a given block copolymer depends upon three variables related to the polymer composition: the degree of polymerization (N), the interaction parameter of the two 5 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 53

blocks (Flory-Huggins interaction parameter, χ), and the volume fraction of the minority block, f.21,71,73 Moreover, when compared to bulk, self-assembly of block copolymer thin films can realize a greater variety of patterns and motifs, resulting in part from the influence of surface energetics at the substrate-polymer and polymer-air interfaces. Furthermore, film thickness and defect formation and annihilation are also linked, which add another level of complexity to pattern formation.21,26,66,68,72,74–76 Initial film thickness plays a critical role with respect to the equilibrium nanopatterns that can form in the film since the height of the film has a profound effect on the formation of formation of block copolymer domains (vide infra).77–80 On the nanoscale, through the use of chemical and topological patterns, however, one can induce longrange alignment of block copolymer self-assembly and reduce the defect density of nanopattern formation through what is called graphoepitaxy (examples shown in Figures 1a-c).23,26,62,72,81,82 These patterns act to guide the self-assembly during the annealing process, nudging or directing the block copolymers into a more ordered configuration. Because of the number of variables at play, newcomers to the field of thin film block copolymer self-assembly are suggested to start with a published recipe, one that describes surface preparation, film thickness, the annealing process (vide infra), and characterization.

Background: Annealing of Thin Films of Block Copolymers The key to driving the self-assembly process is the annealing step of the thin film, during which the block copolymers undergo nanoscale phase segregation.5,21 Thin films of the block copolymer of interest are typically spin-coated onto a substrate in order to produce smooth films of controlled thicknesses. The substrate surface should be cleaned beforehand in an appropriate manner, with perhaps additional functionalization with a brush layer, or other chemical means.83– 6 ACS Paragon Plus Environment

Page 7 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

88

As-spun films are kinetically trapped in a disordered state due to fast evaporation of solvent,

and represent the starting point for self-assembly. By far, the two most widely used techniques for annealing of a block copolymer thin film are thermal and solvent vapor annealing, although other recently described and less-developed approaches deserve mention, including light- or laser-promoted photothermal annealing,89–91 the use of shear forces,92–94 electric fields,95–98 solvent immersion,99,100 and magnetic fields101–103 to induce long-range alignment. This methods and protocols paper will start with a brief description of the most basic approach towards annealing, thermal annealing, as it requires relatively simple apparatus to perform. The paper will then shift focus and concentrate primarily on solvent vapor annealing of thin films of block copolymers to generate nanopatterns on surfaces. Solvent vapor annealing offers flexibility in terms of the choice of solvent and conditions (temperature, vapor pressure and time), is fast and easy to monitor in-situ via spectroscopic means, does not contribute to the thermal budget of the semiconductor processing stream, and may be the sole route for annealing of block copolymers with high χ values or of high molecular weights (a useful class of polymers that are normally troublesome to anneal thermally).66,104–106

Thermal Annealing Thermal annealing involves the heating of a thin film of a block copolymer on a substrate to a temperature above the glass transition temperature, Tg, and below the order-disorder transition temperature (ODT), to enhance polymer diffusivity.23,81,82,107,108 The thermal energy increases the mobility of the block copolymer chains, and with sufficient time, allows the polymers to reach a lower energy configuration. For each polymer, the optimal annealing conditions will differ as they depend upon the chain lengths, volume fraction of each block, the χ parameter, film 7 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 53

thickness, and treatment of the substrate surface.16,23,62,109,110 Thermal annealing is usually performed in vacuum or in an inert gas atmosphere to avoid oxidation and decomposition of the organic polymer. Apparatus for thermal annealing includes use of a vacuum oven, a hot plate in an inert atmosphere glove box, or a tube furnace with either vacuum or inert gas flow. Temperatures are generally in the range of 120-250 °C, and the time required is on the order of hours-to-days.23,33,74,81,109,111–113 It has been recently reported, however, that thin films of block copolymers can be successfully annealed in ambient atmosphere (air) via fast heating approaches (seconds to minutes) such as microwave heating,114–118 photothermal laser heating,89–91 and rapid thermal annealing.119,120 Thermal annealing has the advantage of being compatible with current integrated circuit manufacturing practices.120 Block copolymers with high χ values or high molecular weights are difficult to anneal thermally in any reasonable annealing time, as higher annealing temperatures and longer times are required to enable disentanglement of the polymer strands of this class of block copolymers, which can result in thermal decomposition of the polymer.104,105,121–124

Solvent Vapor Annealing The basic principle of solvent vapor annealing is simply the exposure of a block copolymer thin film to a solvent vapor; if the solvent has a similar value of Hildebrand solubility parameter with one or more of the blocks (often referred to as a ‘good’ solvent), the polymer layer absorbs the solvent and swells, resulting in a thicker film. Within the swollen film, the glass transition temperature of BCP thin film drops to well below room temperature, and the polymer chains increase in mobility, and plasticize. The Flory-Huggins interaction parameter of the block copolymer, χ, drops due to shielding of one or more of the blocks by solvent. Unlike thermal 8 ACS Paragon Plus Environment

Page 9 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

annealing, solvent vapor annealing may be used to access non-equilibrium, metastable phases through control of evaporation rate and other parameters.8,66,105,125–129 Annealing of thin films of polystyrene−block-polybutadiene−block-polystyrene (PS-b-PB-b-PS) triblock copolymers with solvent vapor was initially reported by Thomas and co-workers in 1998 as an alternative to thermal annealing.130 The resulting films showed improved order of the self-assembled block copolymer cylindrical and lamellar nanopatterns as compared to thermal annealing. The same year, Libera and co-workers studied the influence of solvent evaporation on the order and orientation of cylinder-forming PS-b-PB-b-PS triblock copolymer nanopatterns over large areas.131 This annealing method became increasing popular after Fukunaga and co-workers and Russell and co-workers demonstrated that lamellar and cylindrical structures with long-range order over single grains (single domains) could be achieved via solvent vapor annealing.132–134 Since these early reports of solvent vapor annealing, this approach has been widely used for many block copolymer systems. Solvent vapor annealing is incredibly versatile as a wide range of solvents, with different solubility parameters, vapor pressures and other characteristics, can be used. Because it can be carried out well below the glass transition temperature of a given block copolymer, this annealing method is useful for heat-sensitive polymers, and has been partnered with thermal annealing (called solvothermal annealing), which can produce results that differ from a unique solvent or thermal anneal.99,114,115,136–138

Apparatus for Solvent Vapor Annealing Although solvent vapor annealing has been used for almost two decades, there is no standard annealing apparatus or set-up, meaning that reproducibility and comparison of results may be difficult. There are two main categories that define the methods for carrying out solvent vapor 9 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 53

annealing, shown in Figure 2. All start with a block copolymer thin film on a substrate (very often atomically smooth, native oxide-capped silicon). As mentioned earlier, the block copolymer is almost always spin-cast from a dilute solution, often using toluene or THF as the solvent. Spin-casting is practical because of its control over the film thickness, which is critical for reproducibility of the self-assembly process. The simplest set-up to carry out solvent annealing is one that uses a sealed chamber containing a solvent reservoir and the sample of a polymer thin film-on-substrate, held at room temperature with no additional controls over temperature. This method, termed static or ‘jar’ annealing, is effective, and with practice, can be used to produce self-assembled thin films of block copolymers, albeit in a mostly empirical manner. Simple but serviceable examples include using a beaker, crystallization dish with a flat glass cover, or dessicator, enclosing a vial of solvent and the sample.105,128,129,131,132,135 More sophisticated versions of the static solvent vapor annealing apparatus use a custom-designed chamber with a transparent window that allows for in-situ ellipsometry or reflectometry to monitor the film thickness during the annealing process, shown in Figure 2a. The annealing chamber volume, surface area of solvent reservoir, and possible leakage of solvent vapor from the annealing chamber all influence the swelling speed and final degree of swelling, and thus in-situ analysis is critical for reproducibility and to study intermediate degrees of swelling. This type of annealing apparatus has no direct control over the swelling rate during the annealing, and since solvent vapor annealing is sensitive to humidity and room temperature fluctuations, precise reproducibility becomes an issue - an example using insitu ellipsometry of a block copolymer thin film-on-silicon in a static annealing system as a crude temperature monitor is shown in the Supporting Information, Figure S1b. The annealing results may, therefore be influenced by gross parameters such as the weather, room temperature 10 ACS Paragon Plus Environment

Page 11 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

fluctuations, and seasonal building temperature changes. Indeed, we have found noticeable effects due to heating of the building during the spring and fall, when outside temperatures fluctuate on either side of what is considered ‘room temperature’.

Figure 2. Two different types of solvent vapor annealing apparatus. (a) Static solvent vapor annealing setup. (b) Solvent vapor flow annealing system.

The second general family of solvent vapor annealing apparatus is more sophisticated, and is equipped with flow control, a purge line, in-situ sample thickness monitoring (Figure 2b and Table S1). Solvent flow enables greater control over the degree of swelling, D, (defined as the 11 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 53

ratio of swollen film thickness to initial film thickness), and much improved reproducibility. The first use of solvent vapor flow annealing system, to the best of our knowledge, was carried out by Knoll and co-corkers in 2004, who studied the dependence of morphology on the thickness of the film, of a PS-b-PB-b-PS triblock copolymer.139 In 2007, Russell and co-workers followed with a study of cylinder-forming PI-b-PLA diblock copolymer in a solvent vapor flow annealing system.140 Their results revealed that solvent vapor annealing was an effective way to achieve nanopatterns of perpendicular cylinders. They also studied the influence of annealing parameters on the order of cylinder-forming PS-b-P4VP thin film using the same setup.141 The results were promising, and showed that longer annealing times with the vapor of a selective solvent (THF, a ‘good’ solvent for the PS block) can not only improve local order, but can also improve the longrange order (as registered by an increase the size of the domains, or grains) of the resulting nanopatterns. In 2012, Epps and co-workers used a solvent vapor flow annealing system and showed that the rate of solvent removal from swollen block copolymer thin films influenced the final morphology.142 The same year, Ross and co-workers reported a study of the resulting morphology of a PS-b-PDMS block copolymer using a combination of solvents for annealing in a controlled solvent vapor flow annealing system with reflectometry to measure film thickness in-situ.104 In this system, three mass flow controllers were used to adjust flow rates of toluene vapor, n-heptane vapor and pure nitrogen gas, independently. With precise control over solvent vapor pressure and the ratio between the two solvents, this annealing apparatus was shown to reproducibly tune the morphology of a given block copolymer thin film. When combined with a sufficiently fast quench to terminate the anneal, long-range order was achieved in 30 s.136 Leighton and co-workers examined solvent vapor annealing of cylinder-forming PS-b-PLA in a custom designed all-metal solvent flow annealing system with an incorporated in-situ thickness 12 ACS Paragon Plus Environment

Page 13 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

monitor (reflectometry).143 The results obtained using this system, thanks to the added capability of the in-situ thickness monitor, showed that there was a narrow window (with respect to the degree of swelling) for the annealing of this cylinder-forming PS-b-PLA, which was close to the order-disorder transition condition.

Figure 3. The profile and plan view of terraced phases of a thin film of polystyrene-blockpolybutadiene-block-polystyrene (14k-73k-15k), showing different morphologies in areas of varying thicknesses. (a-c) AFM phase images of BCP thin films. The drawn white lines are contour lines, and all images are 2 × 2 µm2. Adapted with permission from ref 139. Copyright 2004 from the American Institute of Physics.

Another variant of solvent vapor flow annealing apparatus uses grazing-incidence small-angle X-ray scattering (GISAXS) to provide in-situ analysis of the resulting crystallinity of a thin film.144–147 Most recently, Ross and co-workers reported a GISAXS study of polystyrene-blockpolydimethylsiloxane (PS-b-PDMS) thin films in a newly designed controlled solvent vapor flow

13 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 53

annealing system.148 The results shed light on the complex kinetic and thermodynamic factors at play during swelling and deswelling in solvent vapor annealing. Ellipsometry and reflectometry, provides extremely useful in-situ information regarding the thin film thickness and therefore the degree of swelling in the film resulting from solvent uptake. These techniques are most often implemented over large areas (hundreds of microns) without lateral resolution, which forces the assumption of a uniform film thickness over that area. However, during the annealing process, the film can become terraced, forming regions of different microstructures with different thicknesses (Figure 3).78,124,139,149 Since these terraced phases are related to the local thickness of the film, data obtained from ellipsometry, reflectometry or other non-mapping thickness techniques will therefore only be able to return an average or effective thickness over a large area. In order to provide additional information, exsitu optical microscopy of thin films can be carried out to complement the in-situ data, but the thin film samples need to be quenched before optical microscopy analysis. Herein, we describe a solvent vapor flow annealing apparatus with precise control over the degree of film swelling, enabled by feedback control linking in-situ monitoring of film thickness with solvent vapor flow. An integrated optical microscope provides additional critical information about macroscale properties of the film (such as micron-scale terraced phase formation). Feedback control enables precise, dial-in control over the degree of swelling of the film to enable reproducible solvent annealing of block copolymer thin films. This combination of precise control over thin film annealing, combined with information obtained from in-situ optical microscopy and laser reflectometry enables detailed understanding of solvent vapor annealing of block copolymer thin films in both nanometer thickness regimes (z-scale), and broad, millimeterscale areas in the x- and y-directions on the substrate. These insights are useful for rational 14 ACS Paragon Plus Environment

Page 15 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

optimization of the annealing procedures for directed self-assembly. All software and drawings needed to implement this feedback controlled solvent vapor annealing system are available as open

source

software

through

Github

in

the

bcolsen/BCPID

repository

(https://github.com/bcolsen/BCPID).

Results and discussion Experimental details for many of the processes described here are found in the Supporting Information (SI), including the preparing, annealing, and etching of PS-b-PDMS thin films, metallization and etching PS-b-P2VP and PS-b-P4VP thin films, as well as detailed descriptions and videos of metallization, plasma etching, thermal annealing, controlled solvent flow annealing, and static solvent vapor annealing (a selected summary is found in Table 2).

Table 2: List of supporting materials.

Experimental Procedure

Supporting materials

Photographs of experimental apparatus

Figure S2,

General experimental procedures for silicon wafer handling, block copolymer solution preparation, metallization and plasma treatments, and related procedures

Pages S6-S8

Vacuum oven thermal annealing

Movie S1, Figure S7

Static solvent vapor annealing

Movie S2, Figure S8

Controlled solvent vapor flow annealing

Movie S3, Figure S9

Metallization of PS-b-P2VP/P4VP

Movie S4, Figure S10 15

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Oxygen plasma etching of PS-b-PDMS diblock copolymers

Page 16 of 53

Movie S5, Figure S11

Description of apparatus A functional flow diagram, schematic, and photographs of our controlled solvent vapor flow annealing apparatus are shown in Figure 4. The chamber volume is less than 1.5 cm3, which is sufficient to fit a “standard” block copolymer thin film sample (1 cm × 1 cm). The sample chamber is mounted to a thermoelectric plate capable of both heating and cooling the chamber. The bubbler is immersed in a water bath to help maintain a constant temperature of the solvent. While solvent annealing at a constant flow, the swelling degree will still fluctuate with room temperature changes. Figure 5a shows the swelling profile of a 25-nm-thick PS-b-PDMS (31k14.5k)/30 wt % PS (10k) film on native-oxide-capped silicon annealed at 1.2 sccm of Ar flow mixed with 20 sccm flow of THF-saturated gas from the bubbler, at room temperature. By mixing the PS-b-PDMS (31k-14.5k) a with an appropriate amount of PS, thin films from this blend yield a hexagonal packed dot pattern upon annealing.78 The degree of swelling reached ~2.24 soon after exposure to the solvent vapor flow, but decreased gradually to 2.08 at the 2000 s mark. The entire swelling profile of this sample as well as two other anneals conducted under the same condition are shown in Figure S4. In order to control the degree of swelling of the polymer film during annealing, a feedback loop linking a precise and responsive thickness measurement technique with solvent vapor flow was developed (Figure 4). Laser reflectometry has been used in other systems to determine film thickness, and was adopted here.104,146 It is a simple and effective way to determine film thickness in real time to obtain the degree of swelling in the polymer film: To determine the thickness of the film, the laser reflectivity is correlated to a pre16 ACS Paragon Plus Environment

Page 17 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

calculated table (as shown in the Supporting Information) based an initial ex-situ ellipsometry thickness measurement.

Figure 4. (a) A functional flow diagram of the controlled solvent vapor flow annealing system. (b) A schematic of controlled solvent vapor flow annealing apparatus. (c) Cross section drawing of the annealing chamber in (b). (d,e) Two photographs of the apparatus.

17 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 53

The physical layout of the reflectometer and the details of the calculated table are described in the Supporting Information. The green and black solid lines in Figure 5a show a preprogrammed swelling profile, and the measured degree of swelling, respectively, of a 25-nm-thick PS-bPDMS (31k-14.5k)/30 wt % PS (10k) film. The pre-programmed swelling profile was set using a rate of 0.3 D/min (e.g. the degree of swelling increases 0.3 every minute) ramp to a set point of D = 2.20, and then held constant for a prescribed length of time (1500 s). The system was then rapidly purged at the 30-minute mark to arrest the annealing process and kinetically trap the morphology of the block copolymer film. More detailed data including the gas flow profile and feedback control profile of this annealing are plotted in Figure S2. Figure 5b and 5c shows four annealing profiles with different set points of D, from 2.05 to 2.20, and four annealing profiles with different swelling speeds from 0.04 D/min to 0.3 degree of swelling/min. The degree of swelling can be held precisely (D ± 0.03) for several hours or more (limited only by the volume of solvent in the bubbler). In order to deswell films as quickly as possible, the volume of the chamber was only 1.5 mm3, enabling a deswell time of less than 2 seconds at 20 sccm, permitting kinetic trapping of the thin film morphology at a precise time (Figures 5a-c). To contrast with the swelling profiles that can be obtained with the solvent flow-controlled system, Figure 5d shows the swelling curve for a 25-nm-thick film of PS-b-PDMS (31k14.5k)/30 wt % PS (10k) in a static solvent vapor annealing system. The structure of this simple, sealed annealing chamber was reported in our previous paper,150 and consists of a home-built sealed aluminum chamber with two optically transparent windows to enable ellipsometric determination of sample thickness (annealing procedure and device setup can be found in SI, Figure S2b, and Movie S2). The shape of the swelling curve was determined by several factors, such as the surface area of the solvent reservoir, the humidity of the ambient air, and temperature 18 ACS Paragon Plus Environment

Page 19 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

of the room. The degree of swelling of the polymer film was monitored via ellipsometry, and while a given morphology (or combination of morphologies) was reproducible for a given degree of swelling, the rate of swelling varied from experiment-to-experiment. For example, the dip in the degree of swelling at 3500 s in Figure 5d was determined to be the result of a usual room temperature fluctuation of about 2 °C (due to the building temperature control). With the feedback loop and temperature control in the flow-controlled system, reproducibility may be less of an issue.

19 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 53

Figure 5. Swelling profile of a 25-nm-thick PS-b-PDMS (31k-14.5k)/30 % wt PS (10k) film annealed by THF vapor in two different annealing apparatus: (a-c) controlled solvent vapor flow annealing, and (d) static solvent vapor annealing. (a) Feedback controlled solvent vapor flow annealing and constant solvent flow annealing (Ar and bubbler flow are set to be 20 sccm, then the Ar flow is reduced to 1.2 sccm.) (b) Four swelling profiles with different degree of swelling: 2.05, 2.10, 2.15, and 2.20 at the same swelling rate (0.3 D/min). The dwell time was set to be 1500 s. (c) Four swelling profiles with the same degree of swelling and dwell time (500 s), but 20 ACS Paragon Plus Environment

Page 21 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

different swelling rate (from 0.300 to 0.04 D/min). (d) Swelling profile in a static solvent vapor annealing system.

Controlling and observing the degree of swelling As stated earlier, the thickness of the pre-annealed film is critical not only with respect to the resulting nanoscale morphology, but on the micron-scale as well. For the dot forming block copolymer used in this article, if the film is too thin, thin wetting layers or bare substrate regions (referred to hereafter as wetting layer in accordance with previous literature)139 will form. If the sample is too thick, double- and triple-layer regions will result. Inconsistencies in as-spun thickness as well as mass transport due to repeated swelling of the film can result in formation of both wetting layers and multilayers within the same sample (Figure S5). An example, in what was intended to be a single-layer thin film, is shown in Figure 6. In this example, a 25-nm-thick PS-b-PDMS (31k-14.5k)/30 wt % PS(10k) film was solvent annealed, resulting in mostly singlelayer films, but the low magnification SEM micrograph, Figure 6b, shows some small regions of wetting layers (darkest areas), and double layers (lightest areas). Figures 6c-e show high magnification SEM micrographs of the three different areas for conclusive identification.

21 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 6. (a) Cross-sectional schematic showing formation of terraced phases of wetting, single and double layer morphologies, in an annealed PS-b-PDMS film, with an initial thickness of 25 nm. (b) Low magnification SEM micrograph of a solvent vapor-annealed thin film of PS-bPDMS following CF4/O2 plasma treatment, an established high-fidelity conversion of the PDMS block to silica, accompanied by simultaneous removal of the PS. (c) High magnification SEM micrograph of the majority single layer (resulting hexagonal silica dot pattern after CF4/O2 plasma treatment). (d) High magnification SEM image of double layer area (resulting silica honeycomb dot pattern after CF4/O2 plasma treatment). (e) High magnification SEM micrograph of an area that shows wetting layer formation (no silica dot pattern seen after CF4/O2 plasma treatment).

From a manufacturing perspective, reproducibility, consistency, and avoidance of multiple self- assembled nanopatterns will be critical, particularly across the large area of a 300-mm wafer.151 Optical microscopy provides real-time measurement of terraced phases correlated directly with film thickness across large areas of the sample, as shown in Figure 7, and Movie S6. For future lithographical applications, minimization of large scale defects such as regions of 22 ACS Paragon Plus Environment

Page 22 of 53

Page 23 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

undesired thicknesses is critically important. Much remains to be learned about the terraced phases formation in block copolymer annealing, in the context of manufacturing and scale-up. The in-situ microscopy capability allows for monitoring and, perhaps in the future, control of the terraced phase morphology during the entirety of the solvent vapor annealing process. Control software was developed to allow multiple annealing steps, including ramping up and down and dwelling at different degree of swelling (Figure 7), enabling cyclic annealing recipes152 that have recently been suggested to provide a fine degree of control over the selfassembly process. To demonstrate the utility of the integrated optical microscope, coupled with control over the degree of swelling (enabled by the feedback loop), Figure 7 demonstrates multistep annealing. The figure shows a simple three-step annealing process of a 25-nm-thick PS-bPDMS (31k-14.5k)/30 wt % PS(10k) thin film on a silicon substrate: The first step is a 250 s dwell at a degree of swelling of 2.4; the second step is a decrease in swelling degree to 2.0, which is then held constant for a 150 s; the third step is an increase of the degree of swelling to 2.3, holding this value for 150 s. The ramp rate for these three steps are all 0.3 D/minute, indicated by the solid red line. Far more complicated cycling profiles are, of course, possible. The optical micrographs are shown in images T0-T7 with corresponding times of capture indicated on the annealing curve. The contrast in the optical micrographs is due to thin film interference. At this thickness, thicker films appear darker, and thinner films are lighter. The sharp contrast between the phases is due to the discrete equilibrium thickness corresponding to the underlying terraced phase (Figure 6a and Figure 3). The area fraction of regions seen as white circular spots in the microscope (referred to as here as wetting layers) and dark circular areas (double layer regions) have been tabulated using thresholding and are plotted along the annealing profile. A video showing the evolution of thin film morphology throughout this 23 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 53

annealing process can be found in the Supporting Information, Figure S12 and Movie S6. As seen in these optical micrographs, immediately after spin casting, the block copolymer thin film is relatively uniform, although weak contrast variations are observed across the field of view, which are ascribed to thickness fluctuations of the film. Upon commencing the solvent vapor anneal, the block copolymer film immediately begins to increase in thickness as it absorbs solvent, from 25 nm at 8.7 s to 29 nm at 13.8 s. Along with the increase in film thickness, nonuniformity of the film thickness begin to increase significantly, as evidenced by the increased contrast between light and dark regions (as seen in the optical micrographs, Movie S6). The wetting layers (white circular spots) appear at ~189 s, and the area fraction of wetting layers increases from 4.2 % of the total area at 189 s to 11.1 % at 242 s (degree of swelling: 2.1, Figure 7T1). The wetting layers then progressively shrink as the block copolymer thin film continues to swell, and completely disappears at a degree of swelling of 2.4 at 377 s (Figure 7T2). At these higher degrees of swelling, double layers (dark circular areas) start to appear, and increase in size during the 250 s dwell, from 0.2 % to 8.1 % (Figure 7T3) of the total film area. From T3 (619 s) to T4 (700 s), the degree of swelling of this block copolymer thin film was then decreased from 2.4 to 2.0, and the double layer area of the thin film decreased from 8.1 % to 2.6 % (Figure 7T4). Upon a second stage of dwelling, the area of double layers decreased (from 2.6 % to 0.5 %), and the wetting layers reappear, increasing from 0.5 % (Figure 7T4) to 9.3 % (Figure 7T5) of the total area. The wetting layer area reached its maximum at 888 s (12.7 %, 2.2 degree of swelling) but started to shrink afterward. At the end of the solvent annealing, the block copolymer thin film was quenched, with a resulting double layer area fraction of 1.8 % and wetting layer area fraction of 7.5 %.

24 ACS Paragon Plus Environment

Page 25 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Careful investigation of Figure 7 and Movie S6 reveals that the area fractions of wetting, single, and double layers can change significantly despite maintaining a constant degree of swelling. As mentioned previously, when using an optical interference method without lateral resolution to measure the degree of swelling of a BCP thin film, it is generally necessary to assume that the film has a uniform thickness over the measurement area. When there are terraced phases present, only an effective thickness is measured resulting in an effective degree of swelling. Therefore, as the film equilibrates to a given degree of swelling setpoint, the relative fractions of wetting, single and double layers can change while the effective thickness stays constant. This is an important consideration for interpreting in-situ optical thickness measurements, and highlights the importance of coupling these measurements with optical microscopy.

25 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 53

Figure 7. The evolution of the terraced phases within a 25-nm-thick PS-b-PDMS (31k-14.5k)/30 wt % PS(10k) film during a three-step annealing process. See Supporting Information for a full video capture of the entire annealing process. Note: the gray line mark at middle left and two whitish spots in every image are due to the contamination of the microscope lens.

26 ACS Paragon Plus Environment

Page 27 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Figure 8. Example of controlled solvent vapor flow annealing of a 22-nm-thick PS-b-PDMS (22.5k-4.5k) thin film. (a) High magnification SEM micrograph of the silica dot patterns formed from an annealed BCP thin film, following CF4/O2 plasma treatment. (b) Low magnification SEM micrograph of a single grain of hexagonal silica dots. The hexagonal pattern can be seen upon expanding (zooming in) on the image. Insert: 2DFFT. (c) Low magnification SEM micrograph of annealed BCP thin film surface with single (majority phase), and double layers (light circles). (d) Swelling profile of the annealing profile. 27 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 28 of 53

An example of the utility of the controlled solvent vapor flow annealing system is shown in Figure 8, which demonstrates very fast annealing of large ordered areas of a thin film of PS-bPDMS (22.5k-4.5k). The annealing profile, Figure 8d, is short, and starts with a pre-programmed swelling profile at a rate of 0.3 D/min to ramp up to D = 1.75. The film was then held constant for 900 s, followed by a purge at time = 1050 s. The annealed thin film was shown to be majority single layer dot pattern, comprised of 11.3 nm-diameter dots with a pitch of 22 nm, within large ordered grains of over 50 µm2 in area (Figures 8a and b). The film has a small quantity of double layer areas, which was determined to be 3.5 % of the total area (Figure 8c).

Solvent Vapor Annealing and Defect Density For semiconductor device applications, control over and quantification of the resulting defect density of a nanopattern is critical.7,153–155 The International Technology Roadmap has specified a key metric for directed self-assembly: the defectivity of a nanopattern must be less than 0.01 cm-2, which is orders of magnitude below the state-of-the-art.7,20 Research to optimize the annealing of thin films of block copolymers is therefore critical. With respect to solvent vapor annealing, it has been reported that the rate of swelling, the degree of swelling, annealing time, and deswelling rate all influence the resulting defect density.66,124,138,142,146,148 In terms of quantifying the defect density of a nanopattern formed via the self-assembly of a block copolymer, a well-described and automated method that extracts statistically relevant data would be preferred. A number of published papers on the topic of analysis of quantification of defect density have been published.81,81,114–116,155,156 A recent publication by our group described the development of freeware that enables automated classification and quantification of defects in a block copolymer-derived nanopattern, as well as related characteristics like line-edge roughness 28 ACS Paragon Plus Environment

Page 29 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

and correlation lengths.149 An example of the output of this automated application is shown in Figure 9. The application, along with a manual and demonstration/test images, can be downloaded and free of charge, as directed in the original publication.

Figure 9. Example of automated defect analysis of SEM images of Pt nanopatterns formed from the cylindrical morphology of a self-assembled block copolymer films of PS-b-P2VP (A+D 50kb-16.5k, B+E 44k-b-18.5k, and C+F 32.5k-b-12k) patterns that can be carried out using the automated application described in reference 149. Reprinted with permission from reference 149. Reproduced with permission from PLoS.

Conclusions For nanopatterning via block copolymer self-assembly (directed self-assembly) to be a viable commercial method for nanolithographic applications for semiconductor devices, unprecedented control over the annealing process is required. Detailed, fundamental insights are required to 29 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 30 of 53

enable the deep understanding required to permit rational optimization. In this paper, we have described apparatus to enable solvent vapor annealing with dial-in feedback control to precisely control the degree of swelling, tailor the swelling profile, and rate of swelling and deswelling of a thin film. The integration of an in-situ optical microscope provides critical information as to the evolution of the micromorphology of the film during the anneal, which complements the film thickness data, as formation of terraced phases can and does occur. Because terraced phase formation is reversible, exquisite control over the degree of swelling allows for optimization of the solvent vapor annealing, to reach the highest level of desired morphology. This tool can be used by the community to tailor and optimize annealing procedures in a manner that is reproducible, while providing instructive data as to intermediate states along the annealing pathway.

ASSOCIATED CONTENT Supporting Information Photographs and descriptions of solvent vapour apparatus; plots showing relationship between temperature and solvent flow, and thickness of a block copolymer thin film; SEM micrographs of different thin film samples, general experimental details, and screen grabs from the supporting videos showing experimental procedures (PDF).

The Supporting Information is available free of charge via the Internet at http://pubs.acs.org.

AUTHOR INFORMATION 30 ACS Paragon Plus Environment

Page 31 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Corresponding Author *E-mail: [email protected] (J.M.B) Author Contributions The manuscript was written through contributions of all authors. All authors have given approval to the final version of the manuscript.

ACKNOWLEDGMENTS This work was supported by grants from the Natural Sciences and Engineering Research Council (NSERC,

grant

numbers

RGPIN-283291-09,

RGPIN-2014-05195),

Alberta

Innovates

Technology Futures (fellowship to CJ, and grant number AITF iCORE IC50-T1 G2013000198), and the Canada Research Chairs program (CRC 207142). Electron microscopy was carried out NRC-NINT. Minjia Hu is thanked for taking videos and pictures.

REFERENCES (1) Sanders, D. P. Advances in Patterning Materials for 193 nm Immersion Lithography. Chem. Rev. 2010, 110, 321–360. (2) Ito, T.; Okazaki, S. Pushing the Limits of Lithography. Nature 2000, 406, 1027–1031. (3) Harriott, L. R. Limits of Lithography. Proc. IEEE 2001, 89, 366–374. (4) Hutchby, J. The Nanoelectronics Roadmap. In Emerging Nanoelectronic Devices; Chen, A., Hutchby, J., Zhirnov, V., Bourianoff, G., Eds.; John Wiley & Sons Ltd, 2014; pp 1–14. 31 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 32 of 53

(5) Bates, C. M.; Maher, M. J.; Janes, D. W.; Ellison, C. J.; Willson, C. G. Block Copolymer Lithography. Macromolecules 2014, 47, 2–12. (6) Darling, S. B. Directing the Self-Assembly of Block Copolymers. Prog. Polym. Sci. 2007, 32, 1152–1204. (7) Herr, D. J. Directed Block Copolymer Self-Assembly for Nanoelectronics Fabrication. J. Mater. Res. 2011, 26, 122–139. (8) Luo, M.; Epps, T. H. Directed Block Copolymer Thin Film Self-Assembly: Emerging Trends in Nanopattern Fabrication. Macromolecules 2013, 46, 7567–7579. (9) Jeong, S.-J.; Kim, J. Y.; Kim, B. H.; Moon, H.-S.; Kim, S. O. Directed Self-Assembly of Block Copolymers for Next Generation Nanolithography. Mater. Today 2013, 16, 468–476. (10) Lane, A. P.; Maher, M. J.; Willson, C. G.; Ellison, C. J. Photopatterning of Block Copolymer Thin Films. ACS Macro Lett. 2016, 5, 460–465. (11) Gronheid, R.; Nealey, P. Directed Self-Assembly of Block Co-Polymers for Nanomanufacturing; Woodhead Publishing, 2015. (12) Cheng, J.; Doerk, G. S.; Rettner, C. T.; Singh, G.; Tjio, M.; Truong, H.; Arellano, N.; Balakrishnan, S.; Brink, M.; Tsai, H.; Liu, C.-C.; Guillorn, M.; Sanders, D. P. Customization and Design of Directed Self-Assembly Using Hybrid Prepatterns; 2015; Vol. 9423, pp 942307– 942307–8. (13) Hu, H.; Gopinadhan, M.; Osuji, C. O. Directed Self-Assembly of Block Copolymers: A Tutorial Review of Strategies for Enabling Nanotechnology with Soft Matter. Soft Matter 2014, 10, 3867–3889. 32 ACS Paragon Plus Environment

Page 33 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(14) International Technology Roadmap for Semiconductors, 2011th ed.; Semiconductor Industry Association: San Jose, CA, 2011. (15) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Macroscopic 10-Terabit–per–Square-Inch Arrays from Block Copolymers with Lateral Order. Science 2009, 323, 1030–1033. (16) Cushen, J. D.; Otsuka, I.; Bates, C. M.; Halila, S.; Fort, S.; Rochas, C.; Easley, J. A.; Rausch, E. L.; Thio, A.; Borsali, R.; Willson, C. G.; Ellison, C. J. Oligosaccharide/SiliconContaining Block Copolymers with 5 nm Features for Lithographic Applications. ACS Nano 2012, 6, 3424–3433. (17) Jeong, J. W.; Hur, Y. H.; Kim, H.-j.; Kim, J. M.; Park, W. I.; Kim, M. J.; Kim, B. J.; Jung, Y. S. Proximity Injection of Plasticizing Molecules to Self-Assembling Polymers for LargeArea, Ultrafast Nanopatterning in the Sub-10-nm Regime. ACS Nano 2013, 7, 6747–6757. (18) Aissou, K.; Mumtaz, M.; Fleury, G.; Portale, G.; Navarro, C.; Cloutet, E.; Brochon, C.; Ross, C. A.; Hadziioannou, G. Sub-10 Nm Features Obtained from Directed Self-Assembly of Semicrystalline Polycarbosilane-Based Block Copolymer Thin Films. Adv. Mater. 2015, 27, 261–265. (19) Seshimo, T.; Maeda, R.; Odashima, R.; Takenaka, Y.; Kawana, D.; Ohmori, K.; Hayakawa, T. Perpendicularly Oriented Sub-10-nm Block Copolymer Lamellae by Atmospheric Thermal Annealing for One Minute. Sci. Rep. 2016, 6, 19481. (20) Kihara, N.; Seino, Y.; Sato, H.; Kasahara, Y.; Kobayashi, K.; Miyagi, K.; Minegishi, S.; Yatsuda, K.; Fujiwara, T.; Hirayanagi, N.; Kanai, H.; Kawamonzen, Y.; Kodera, K.; Azuma, T.; 33 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 34 of 53

Hayakawa, T. Sub-10-nm Patterning Process Using Directed Self-Assembly with High χ Block Copolymers. J. Micro/Nanolith. MEMS MOEMS 2015, 14, 023502–023502. (21) Bates, F. S.; Fredrickson, G. H. Block Copolymer Thermodynamics: Theory and Experiment. Annu. Rev. Phys. Chem. 1990, 41, 525–557. (22) Hadjichristidis, N.; Pispas, S.; Floudas, G. Block Copolymers: Synthetic Strategies, Physical Properties, and Applications, Wiley-Interscience: Hoboken, N.J, 2002. (23) Stoykovich, M. P.; Müller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; Pablo, J. J. de; Nealey, P. F. Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures. Science 2005, 308, 1442–1446. (24) Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C.-C.; de Pablo, J. J.; Müller, M.; Nealey, P. F. Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries. ACS Nano 2007, 1, 168–175. (25) Hardy, C. G.; Tang, C. Advances in Square Arrays Through Self-Assembly and Directed Self-Assembly of Block Copolymers. J. Polym. Sci. B Polym. Phys. 2013, 51, 2–15. (26) Marencic, A. P.; Register, R. A. Controlling Order in Block Copolymer Thin Films for Nanopatterning Applications. Annu. Rev. Chem. Biomol. Eng. 2010, 1, 277–297. (27) Ross, C. A.; Berggren, K. K.; Cheng, J. Y.; Jung, Y. S.; Chang, J.-B. Three-Dimensional Nanofabrication by Block Copolymer Self-Assembly. Adv. Mater. 2014, 26, 4386–4396.

34 ACS Paragon Plus Environment

Page 35 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(28) Doerk, G. S.; Cheng, J. Y.; Singh, G.; Rettner, C. T.; Pitera, J. W.; Balakrishnan, S.; Arellano, N.; Sanders, D. P. Enabling Complex Nanoscale Pattern Customization Using Directed Self-Assembly. Nat. Commun. 2014, 5, 5805. (29) Tang, C.; Lennon, E. M.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Evolution of Block Copolymer Lithography to Highly Ordered Square Arrays. Science 2008, 322, 429–432. (30) Chang, J.-B.; Choi, H. K.; Hannon, A. F.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Design Rules for Self-Assembled Block Copolymer Patterns Using Tiled Templates. Nat. Commun. 2014, 5, 3305. (31) Nunns, A.; Gwyther, J.; Manners, I. Inorganic Block Copolymer Lithography. Polymer 2013, 54, 1269–1284. (32) Gu, X.; Gunkel, I.; Russell, T. P. Pattern Transfer Using Block Copolymers. Phil. Trans. R. Soc. A 2013, 371, 20120306. (33) Chai, J.; Buriak, J. M. Using Cylindrical Domains of Block Copolymers To SelfAssemble and Align Metallic Nanowires. ACS Nano 2008, 2, 489–501. (34) Aizawa, M.; Buriak, J. M. Block Copolymer-Templated Chemistry on Si, Ge, InP, and GaAs Surfaces. J. Am. Chem. Soc. 2005, 127, 8932–8933. (35) Park, M. Block Copolymer Lithography: Periodic Arrays of 1011 Holes in 1 Square Centimeter. Science 1997, 276, 1401–1404. (36) Stoltenberg, R. M.; Liu, C.; Bao, Z. Selective Surface Chemistry Using Alumina Nanoparticles Generated from Block Copolymers. Langmuir 2011, 27, 445–451. 35 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 36 of 53

(37) Tsai, H.; Pitera, J. W.; Miyazoe, H.; Bangsaruntip, S.; Engelmann, S. U.; Liu, C.-C.; Cheng, J. Y.; Bucchignano, J. J.; Klaus, D. P.; Joseph, E. A.; Sanders, D. P.; Colburn, M. E.; Guillorn, M. A. Two-Dimensional Pattern Formation Using Graphoepitaxy of PS-b-PMMA Block Copolymers for Advanced FinFET Device and Circuit Fabrication. ACS Nano 2014, 8, 5227–5232. (38) Black, C. T. Self-Aligned Self Assembly of Multi-Nanowire Silicon Field Effect Transistors. Appl. Phys, Lett. 2005, 87, 163116. (39) Son, J. G.; Son, M.; Moon, K.-J.; Lee, B. H.; Myoung, J.-M.; Strano, M. S.; Ham, M.-H.; Ross, C. A. Sub-10 nm Graphene Nanoribbon Array Field-Effect Transistors Fabricated by Block Copolymer Lithography. Adv. Mater. 2013, 25, 4723–4728. (40) Tsai, H.-Y.; Miyazoe, H.; Engelmann, S.; Bangsaruntip, S.; Lauer, I.; Bucchignano, J.; Klaus, D.; Gignac, L.; Joseph, E.; Cheng, J.; Sanders, D.; Guillorn, M. Pattern Transfer of Directed Self-Assembly (DSA) Patterns for CMOS Device Applications. J. Micro/Nanolith. 2013, 8685, 86850L–86850L–9. (41) Cheng, J. Y.; Sanders, D. P.; Truong, H. D.; Harrer, S.; Friz, A.; Holmes, S.; Colburn, M.; Hinsberg, W. D. Simple and Versatile Methods to Integrate Directed Self-Assembly with Optical Lithography Using a Polarity-Switched Photoresist. ACS Nano 2010, 4, 4815–4823. (42) Yi, H.; Bao, X.-Y.; Tiberio, R.; Wong, H.-S. P. A General Design Strategy for Block Copolymer Directed Self-Assembly Patterning of Integrated Circuits Contact Holes Using an Alphabet Approach. Nano Lett. 2015, 15, 805–812.

36 ACS Paragon Plus Environment

Page 37 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(43) Servin, I.; Tiron, R.; Gharbi, A.; Argoud, M.; Jullian, K.; Chamiot-Maitral, G.; Barros, P. P.; Chevalier, X.; Belledent, J.; Bossy, X.; Moulis, S.; Navarro, C.; Cunge, G.; Barnola, S.; Asai, M.; Pieczulewski, C. Contact Hole Shrink by Directed Self-Assembly: Process Integration and Stability Monitored on 300 mm Pilot Line. Jpn. J. Appl. Phys. 2014, 53, 06JC05. (44) Rathsack, B.; Somervell, M.; Hooge, J.; Muramatsu, M.; Tanouchi, K.; Kitano, T.; Nishimura, E.; Yatsuda, K.; Nagahara, S.; Hiroyuki, I.; Akai, K.; Hayakawa, T. Pattern Scaling with Directed Self Assembly Through Lithography and Etch Process Integration. Proc. SPIE, 2012, 8323, 83230B–83230B–14. (45) Bouanani, S.; Tiron, R.; Bos, S.; Gharbi, A.; Barros, P. P.; Hazart, J.; Robert, F.; Lapeyre, C.; Ostrovsky, A.; Monget, C. Placement Error in Directed Self-Assembly of Block Copolymers for Contact Hole Application. J. Micro/Nanolith. MEMS MOEMS 2016, 15, 021407–021407. (46) Park, W. I.; You, B. K.; Mun, B. H.; Seo, H. K.; Lee, J. Y.; Hosaka, S.; Yin, Y.; Ross, C. A.; Lee, K. J.; Jung, Y. S. Self-Assembled Incorporation of Modulated Block Copolymer Nanostructures in Phase-Change Memory for Switching Power Reduction. ACS Nano 2013, 7, 2651–2658. (47) Milliron, D. J.; Raoux, S.; Shelby, R. M.; Jordan-Sweet, J. Solution-Phase Deposition and Nanopatterning of GeSbSe Phase-Change Materials. Nat. Mater. 2007, 6, 352–356. (48) Griffiths, R. A.; Williams, A.; Oakland, C.; Roberts, J.; Vijayaraghavan, A.; Thomas Thomson. Directed Self-Assembly of Block Copolymers for Use in Bit Patterned Media Fabrication. J. Phys. D: Appl. Phys. 2013, 46, 503001.

37 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 38 of 53

(49) Yang, X.; Wan, L.; Xiao, S.; Xu, Y.; Weller, D. K. Directed Block Copolymer Assembly Versus Electron Beam Lithography for Bit-Patterned Media with Areal Density of 1 Terabit/inch2 and Beyond. ACS Nano 2009, 3, 1844–1858. (50) Ruiz, R.; Dobisz, E.; Albrecht, T. R. Rectangular Patterns Using Block Copolymer Directed Assembly for High Bit Aspect Ratio Patterned Media. ACS Nano 2011, 5, 79–84. (51) Xiao, S.; Yang, X.; Steiner, P.; Hsu, Y.; Lee, K.; Wago, K.; Kuo, D. Servo-Integrated Patterned Media by Hybrid Directed Self-Assembly. ACS Nano 2014, 8, 11854–11859. (52) Wang, Y.; Li, F. An Emerging Pore-Making Strategy: Confined Swelling-Induced Pore Generation in Block Copolymer Materials. Adv. Mater. 2011, 23, 2134–2148. (53) Guo, L.; Wang, Y. Monolithic Membranes with Designable Pore Geometries and Sizes via Retarded Evaporation of Block Copolymer Supramolecules. Macromolecules 2015, 48, 8471–8479. (54) Bhushan, B.; Schricker, S. R. A Review of Block Copolymer-Based Biomaterials That Control Protein and Cell Interactions. J. Biomed. Mater. Res. 2014, 102, 2467–2480. (55) Arnold, M.; Cavalcanti-Adam, E. A.; Glass, R.; Blümmel, J.; Eck, W.; Kantlehner, M.; Kessler, H.; Spatz, J. P. Activation of Integrin Function by Nanopatterned Adhesive Interfaces. ChemPhysChem 2004, 5, 383–388. (56) Walter, N.; Selhuber, C.; Kessler, H.; Spatz, J. P. Cellular Unbinding Forces of Initial Adhesion Processes on Nanopatterned Surfaces Probed with Magnetic Tweezers. Nano Lett. 2006, 6, 398–402.

38 ACS Paragon Plus Environment

Page 39 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(57) Heo, M.; Cho, H.; Jung, J.-W.; Jeong, J.-R.; Park, S.; Kim, J. Y. High-Performance Organic Optoelectronic Devices Enhanced by Surface Plasmon Resonance. Adv. Mater. 2011, 23, 5689–5693. (58) Liu, F.; Luber, E. J.; Huck, L. A.; Olsen, B. C.; Buriak, J. M. Nanoscale Plasmonic Stamp Lithography on Silicon. ACS Nano 2015, 9, 2184–2193. (59) Mizuno, H.; Buriak, J. M. Catalytic Stamp Lithography for Sub-100 nm Patterning of Organic Monolayers. J. Am. Chem. Soc. 2008, 130, 17656–17657. (60) Edrington, A. C.; Urbas, A. M.; DeRege, P.; Chen, C. X.; Swager, T. M.; Hadjichristidis, N.; Xenidou, M.; Fetters, L. J.; Joannopoulos, J. D.; Fink, Y.; Thomas, E. L. Polymer-Based Photonic Crystals. Adv. Mater. 2001, 13, 421–425. (61) Jung, Y. S.; Jung, W.; Tuller, H. L.; Ross, C. A. Nanowire Conductive Polymer Gas Sensor Patterned Using Self-Assembled Block Copolymer Lithography. Nano Lett. 2008, 8, 3776–3780. (62) Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.; Berggren, K. K. Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates. Science 2008, 321, 939–943. (63) Lynd, N. A.; Meuler, A. J.; Hillmyer, M. A. Polydispersity and Block Copolymer SelfAssembly. Prog. Poly. Sci. 2008, 33, 875–893. (64) Kim, H.-C.; Park, S.-M.; Hinsberg, W. D. Block Copolymer Based Nanostructures: Materials, Processes, and Applications to Electronics. Chem. Rev. 2010, 110, 146–177.

39 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 40 of 53

(65) Mai, Y.; Eisenberg, A. Self-Assembly of Block Copolymers. Chem. Soc. Rev. 2012, 41, 5969–5985. (66) Sinturel, C.; Vayer, M.; Morris, M.; Hillmyer, M. A. Solvent Vapor Annealing of Block Polymer Thin Films. Macromolecules 2013, 46, 5399–5415. (67) Bigall, N. C.; Nandan, B.; Gowd, E. B.; Horechyy, A.; Eychmüller, A. High-Resolution Metal Nanopatterning by Means of Switchable Block Copolymer Templates. ACS Appl. Mater. Interfaces 2015, 7, 12559–12569. (68) Morris, M. A. Directed Self-Assembly of Block Copolymers for Nanocircuitry Fabrication. Microelectron. Eng. 2015, 132, 207–217. (69) Ji, S.; Wan, L.; Liu, C.-C.; Nealey, P. F. Directed Self-Assembly of Block Copolymers on Chemical Patterns: A Platform for Nanofabrication. Prog. Poly. Sci. 2016, 54–55, 76–127. (70) Müller-Buschbaum, P. GISAXS and GISANS as Metrology Technique for Understanding the 3D Morphology of Block Copolymer Thin Films. Eur. Polym. J. 2016, 81, 470-493 (71) Leibler, L. Theory of Microphase Separation in Block Copolymers. Macromolecules 1980, 13, 1602–1617. (72) Segalman, R. A. Patterning with Block Copolymer Thin Films. Mater. Sci. Eng.: R-Rep. 2005, 48, 191–226. (73) Li, W.; Liu, M.; Qiu, F.; Shi, A.-C. Phase Diagram of Diblock Copolymers Confined in Thin Films. J. Phys. Chem. B 2013, 117, 5280–5288.

40 ACS Paragon Plus Environment

Page 41 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(74) Welander, A. M.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Nealey, P. F. Directed Assembly of Block Copolymers in Thin to Thick Films. Macromolecules 2013, 46, 3915–3921. (75) Li, W.; Qiu, F.; Yang, Y.; Shi, A.-C. Ordering Dynamics of Directed Self-Assembly of Block Copolymers in Periodic Two-Dimensional Fields. Macromolecules 2010, 43, 1644–1650. (76) Stein, G. E.; Mahadevapuram, N.; Mitra, I. Controlling Interfacial Interactions for Directed Self Assembly of Block Copolymers. J. Polym. Sci. Part B: Polym. Phys. 2015, 53, 96– 102. (77) Horvat, A.; Lyakhova, K. S.; Sevink, G. J. A.; Zvelindovsky, A. V.; Magerle, R. Phase Behavior in Thin Films of Cylinder-Forming ABA Block Copolymers: Mesoscale Modeling. J. Chem. Phys. 2004, 120, 1117–1126. (78) Wu, N. L. Y.; Harris, K. D.; Buriak, J. M. Conversion of Bilayers of PS-b-PDMS Block Copolymer into Closely Packed, Aligned Silica Nanopatterns. ACS Nano 2013, 7, 5595–5606. (79) Luo, M.; Seppala, J. E.; Albert, J. N. L.; Lewis, R. L.; Mahadevapuram, N.; Stein, G. E.; Epps, T. H. Manipulating Nanoscale Morphologies in Cylinder-Forming Poly(styrene-bisoprene-b-styrene) Thin Films Using Film Thickness and Substrate Surface Chemistry Gradients. Macromolecules 2013, 46, 1803–1811. (80) Shelton, C. K.; Epps, T. H. Mapping Substrate Surface Field Propagation in Block Polymer Thin Films. Macromolecules 2016, 49, 574–580. (81) Ouk Kim, S.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Epitaxial Self-Assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates. Nature 2003, 424, 411–414. 41 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 42 of 53

(82) Ruiz, R.; Kang, H.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; Pablo, J. J. de; Nealey, P. F. Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly. Science 2008, 321, 936–939. (83) Mastroianni, S. E.; Epps, T. H. Interfacial Manipulations: Controlling Nanoscale Assembly in Bulk, Thin Film, and Solution Block Copolymer Systems. Langmuir 2013, 29, 3864–3878. (84) Zhang, J.; Clark, M. B.; Wu, C.; Li, M.; Trefonas, P.; Hustad, P. D. Orientation Control in Thin Films of a High-χ Block Copolymer with a Surface Active Embedded Neutral Layer. Nano Lett. 2016, 16, 728–735. (85) Maher, M. J.; Bates, C. M.; Blachut, G.; Sirard, S.; Self, J. L.; Carlson, M. C.; Dean, L. M.; Cushen, J. D.; Durand, W. J.; Hayes, C. O.; Ellison, C. J.; Willson, C. G. Interfacial Design for Block Copolymer Thin Films. Chem. Mater. 2014, 26, 1471–1479. (86) Han, E.; Leolukman, M.; Kim, M.; Gopalan, P. Resist Free Patterning of Nonpreferential Buffer Layers for Block Copolymer Lithography. ACS Nano 2010, 4, 6527–6534. (87) Gu, W.; Hong, S. W.; Russell, T. P. Orienting Block Copolymer Microdomains with Block Copolymer Brushes. ACS Nano 2012, 6, 10250–10257. (88) Maher, M. J.; Bates, C. M.; Durand, W. J.; Blachut, G.; Janes, D. W.; Cheng, J. Y.; Sanders, D. P.; Willson, C. G.; Ellison, C. J. Interfacial Layers with Photoswitching Surface Energy for Block Copolymer Alignment and Directed Self-Assembly. J. Photopolym. Sci. Tech. 2015, 28, 611–615.

42 ACS Paragon Plus Environment

Page 43 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(89) Majewski, P. W.; Yager, K. G. Block Copolymer Response to Photothermal Stress Fields. Macromolecules 2015, 48, 4591–4598. (90) Jin, H. M.; Lee, S. H.; Kim, J. Y.; Son, S.-W.; Kim, B. H.; Lee, H. K.; Mun, J. H.; Cha, S. K.; Kim, J. S.; Nealey, P. F.; Lee, K. J.; Kim, S. O. Laser Writing Block Copolymer SelfAssembly on Graphene Light-Absorbing Layer. ACS Nano 2016, 10, 3435–3442. (91) Majewski, P. W.; Rahman, A.; Black, C. T.; Yager, K. G. Arbitrary Lattice Symmetries via Block Copolymer Nanomeshes. Nat. Commun. 2015, 6, 7448. (92) Majewski, P. W.; Yager, K. G. Latent Alignment in Pathway-Dependent Ordering of Block Copolymer Thin Films. Nano Lett. 2015, 15, 5221–5228. (93) Davis, R. L.; Michal, B. T.; Chaikin, P. M.; Register, R. A. Progression of Alignment in Thin Films of Cylinder-Forming Block Copolymers Upon Shearing. Macromolecules 2015, 48, 5339–5347. (94) Luo, M.; Scott, D. M.; Epps, T. H. Writing Highly Ordered Macroscopic Patterns in Cylindrical Block Polymer Thin Films via Raster Solvent Vapor Annealing and Soft Shear. ACS Macro Lett. 2015, 4, 516–520. (95) Pester, C. W.; Liedel, C.; Ruppel, M.; Böker, A. Block Copolymers in Electric Fields. Prog. Polym. Sci. 2016, doi: 10.1016/j.progpolymsci.2016.04.005. (96) Kathrein, C. C.; Bai, W.; Currivan-Incorvia, J. A.; Liontos, G.; Ntetsikas, K.; Avgeropoulos, A.; Böker, A.; Tsarkova, L.; Ross, C. A. Combining Graphoepitaxy and Electric Fields Toward Uniaxial Alignment of Solvent-Annealed Polystyrene–b–Poly(dimethylsiloxane) Block Copolymers. Chem. Mater. 2015, 27, 6890–6898. 43 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 44 of 53

(97) Ruppel, M.; Pester, C. W.; Langner, K. M.; Sevink, G. J. A.; Schoberth, H. G.; Schmidt, K.; Urban, V. S.; Mays, J. W.; Böker, A. Electric Field Induced Selective Disordering in Lamellar Block Copolymers. ACS Nano 2013, 7, 3854–3867. (98) Liedel, C.; Pester, C. W.; Ruppel, M.; Lewin, C.; Pavan, M. J.; Urban, V. S.; Shenhar, R.; Bösecke, P.; Böker, A. Block Copolymer Nanocomposites in Electric Fields: Kinetics of Alignment. ACS Macro Lett. 2013, 2, 53–58. (99) Park, W. I.; Kim, J. M.; Jeong, J. W.; Jung, Y. S. Deep-Nanoscale Pattern Engineering by Immersion-Induced Self-Assembly. ACS Nano 2014, 8, 10009–10018. (100) Modi, A.; Bhaway, S. M.; Vogt, B. D.; Douglas, J. F.; Al-Enizi, A.; Elzatahry, A.; Sharma, A.; Karim, A. Direct Immersion Annealing of Thin Block Copolymer Films. ACS Appl. Mater. Interfaces 2015, 7, 21639–21645. (101) Rokhlenko, Y.; Gopinadhan, M.; Osuji, C. O.; Zhang, K.; O’Hern, C. S.; Larson, S. R.; Gopalan, P.; Majewski, P. W.; Yager, K. G. Magnetic Alignment of Block Copolymer Microdomains by Intrinsic Chain Anisotropy. Phys. Rev. Lett. 2015, 115, 258302. (102) Tran, H.; Gopinadhan, M.; Majewski, P. W.; Shade, R.; Steffes, V.; Osuji, C. O.; Campos, L. M. Monoliths of Semiconducting Block Copolymers by Magnetic Alignment. ACS Nano 2013, 7, 5514–5521. (103) Raman, V.; Bose, A.; Olsen, B. D.; Hatton, T. A. Long-Range Ordering of Symmetric Block Copolymer Domains by Chaining of Superparamagnetic Nanoparticles in External Magnetic Fields. Macromolecules 2012, 45, 9373–9382.

44 ACS Paragon Plus Environment

Page 45 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(104) Gotrik, K. W.; Hannon, A. F.; Son, J. G.; Keller, B.; Alexander-Katz, A.; Ross, C. A. Morphology Control in Block Copolymer Films Using Mixed Solvent Vapors. ACS Nano 2012, 6, 8052–8059. (105) Gu, X.; Gunkel, I.; Hexemer, A.; Russell, T. P. Controlling Domain Spacing and Grain Size in Cylindrical Block Copolymer Thin Films by Means of Thermal and Solvent Vapor Annealing. Macromolecules 2016, 49, 3373–3381. (106) Kim, E.; Ahn, H.; Park, S.; Lee, H.; Lee, M.; Lee, S.; Kim, T.; Kwak, E.-A.; Lee, J. H.; Lei, X.; Huh, J.; Bang, J.; Lee, B.; Ryu, D. Y. Directed Assembly of High Molecular Weight Block Copolymers: Highly Ordered Line Patterns of Perpendicularly Oriented Lamellae with Large Periods. ACS Nano 2013, 7, 1952–1960. (107) Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H.-C.; Hinsberg, W. D. Dense SelfAssembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers. Adv. Mater. 2008, 20, 3155–3158. (108) Giammaria, T. J.; Ferrarese Lupi, F.; Seguini, G.; Perego, M.; Vita, F.; Francescangeli, O.; Wenning, B.; Ober, C. K.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M. MicrometerScale Ordering of Silicon-Containing Block Copolymer Thin Films via High-Temperature Thermal Treatments. ACS Appl. Mater. Interfaces 2016, 8, 9897–9908. (109) Pitet, L. M.; Wuister, S. F.; Peeters, E.; Kramer, E. J.; Hawker, C. J.; Meijer, E. W. WellOrganized Dense Arrays of Nanodomains in Thin Films of Poly(dimethylsiloxane)-bpoly(lactide) Diblock Copolymers. Macromolecules 2013, 46, 8289–8295.

45 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 46 of 53

(110) Welander, A. M.; Kang, H.; Stuen, K. O.; Solak, H. H.; Müller, M.; de Pablo, J. J.; Nealey, P. F. Rapid Directed Assembly of Block Copolymer Films at Elevated Temperatures. Macromolecules 2008, 41, 2759–2761. (111) Yoshida, H.; Tada, Y.; Ishida, Y.; Hayakawa, T.; Takenaka, M.; Hasegawa, H. Improved Lithography by Directed Self-Assembly of Ultra-High-Density Patterns. SPIE Newsroom 2013. (112) Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. Polarity-Switching Top Coats Enable Orientation of Sub-10-nm Block Copolymer Domains. Science 2012, 338, 775–779. (113) Nagpal, U.; Kang, H.; Craig, G. S. W.; Nealey, P. F.; de Pablo, J. J. Pattern Dimensions and Feature Shapes of Ternary Blends of Block Copolymer and Low Molecular Weight Homopolymers Directed To Assemble on Chemically Nanopatterned Surfaces. ACS Nano 2011, 5, 5673–5682. (114) Zhang, X.; Harris, K. D.; Wu, N. L. Y.; Murphy, J. N.; Buriak, J. M. Fast Assembly of Ordered Block Copolymer Nanostructures Through Microwave Annealing. ACS Nano 2010, 4, 7021–7029. (115) Zhang, X.; Murphy, J. N.; Wu, N. L. Y.; Harris, K. D.; Buriak, J. M. Rapid Assembly of Nanolines with Precisely Controlled Spacing from Binary Blends of Block Copolymers. Macromolecules 2011, 44, 9752–9757. (116) Jin, C.; Murphy, J. N.; Harris, K. D.; Buriak, J. M. Deconvoluting the Mechanism of Microwave Annealing of Block Copolymer Thin Films. ACS Nano 2014, 8, 3979–3991.

46 ACS Paragon Plus Environment

Page 47 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(117) Borah, D.; Senthamaraikannan, R.; Rasappa, S.; Kosmala, B.; Holmes, J. D.; Morris, M. A. Swift Nanopattern Formation of PS-b-PMMA and PS-b-PDMS Block Copolymer Films Using a Microwave Assisted Technique. ACS Nano 2013, 7, 6583–6596. (118) Borah, D.; Shaw, M. T.; Holmes, J. D.; Morris, M. A. Sub-10 nm Feature Size PS-bPDMS Block Copolymer Structures Fabricated by a Microwave-Assisted Solvothermal Process. ACS Appl. Mater. Interfaces 2013, 5, 2004–2012. (109) Lupi, F. F.; Giammaria, T. J.; Ceresoli, M.; Seguini, G.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M.; M Perego. Rapid Thermal Processing of Self-Assembling Block Copolymer Thin Films. Nanotechnology 2013, 24, 315601. (120) Black, C. T.; Ruiz, R.; Breyta, G.; Cheng, J. Y.; Colburn, M. E.; Guarini, K. W.; Kim, H. C.; Zhang, Y. Polymer Self Assembly in Semiconductor Microelectronics. IBM J. Res. Develop. 2007, 51, 605–633. (121) Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Temperature Dependence of the Interaction Parameter of Polystyrene and Poly(methyl methacrylate). Macromolecules 1990, 23, 890–893. (122) Gianotti, V.; Antonioli, D.; Sparnacci, K.; Laus, M.; Giammaria, T. J.; Ferrarese Lupi, F.; Seguini, G.; Perego, M. On the Thermal Stability of PS-b-PMMA Block and P(S-r-MMA) Random Copolymers for Nanopatterning Applications. Macromolecules 2013, 46, 8224–8234. (123) Albert, J. N. L.; Epps III, T. H. Self-Assembly of Block Copolymer Thin Films. Mater. Today 2010, 13, 24–33. (124) Wu, N. L. Y.; Zhang, X.; Murphy, J. N.; Chai, J.; Harris, K. D.; Buriak, J. M. Density Doubling of Block Copolymer Templated Features. Nano Lett. 2012, 12, 264–268. 47 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 48 of 53

(125) Chao, H.; Koski, J.; Riggleman, R. A. Solvent Vapor Annealing in Block Copolymer Nanocomposite Films: A Dynamic Mean Field Approach. Soft Matter 2016, doi: 10.1039/C6SM00770H. (126) Tung, S.-H.; Xu, T. Templated Assembly of Block Copolymer Toward Nonequilibrium Nanostructures in Thin Films. Macromolecules 2009, 42, 5761–5765. (127) Kao, J.; Tingsanchali, J.; Xu, T. Effects of Interfacial Interactions and Film Thickness on Nonequilibrium Hierarchical Assemblies of Block Copolymer-Based Supramolecules in Thin Films. Macromolecules 2011, 44, 4392–4400. (128) Wan, L.; Ji, S.; Liu, C.-C.; Craig, G. S. W.; Nealey, P. F. Directed Self-Assembly of Solvent-Vapor-Induced Non-Bulk Block Copolymer Morphologies on Nanopatterned Substrates. Soft Matter 2016, 12, 2914–2922. (129) Bai, W.; Hannon, A. F.; Gotrik, K. W.; Choi, H. K.; Aissou, K.; Liontos, G.; Ntetsikas, K.; Alexander-Katz, A.; Avgeropoulos, A.; Ross, C. A. Thin Film Morphologies of Bulk-Gyroid Polystyrene-block-polydimethylsiloxane Under Solvent Vapor Annealing. Macromolecules 2014, 47, 6000–6008. (130) Albalak, R. J.; Capel, M. S.; Thomas, E. L. Solvent Swelling of Roll-Cast Triblock Copolymer Films. Polymer 1998, 39, 1647–1656. (131)

Kim,

G.;

Libera,

M.

Polystyrene−Polybutadiene−Polystyrene

Morphological (SBS)

Development

Triblock

Copolymer

in

Solvent-Cast Thin

Films.

Macromolecules 1998, 31, 2569–2577.

48 ACS Paragon Plus Environment

Page 49 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(132) Fukunaga, K.; Elbs, H.; Magerle, R.; Krausch, G. Large-Scale Alignment of ABC Block Copolymer Microdomains via Solvent Vapor Treatment. Macromolecules 2000, 33, 947–953. (133) Kimura, M.; Misner, M. J.; Xu, T.; Kim, S. H.; Russell, T. P. Long-Range Ordering of Diblock Copolymers Induced by Droplet Pinning. Langmuir 2003, 19, 9910–9913. (134) Kim, S. H.; Misner, M. J.; Xu, T.; Kimura, M.; Russell, T. P. Highly Oriented and Ordered Arrays from Block Copolymers via Solvent Evaporation. Adv. Mater. 2004, 16, 226– 231. (135) Sinturel, C.; Grosso, D.; Boudot, M.; Amenitsch, H.; Hillmyer, M. A.; Pineau, A.; Vayer, M. Structural Transitions in Asymmetric Poly(styrene)-block-Poly(lactide) Thin Films Induced by Solvent Vapor Exposure. ACS Appl. Mater. Interfaces 2014, 6, 12146. (136) Gotrik, K. W.; Ross, C. A. Solvothermal Annealing of Block Copolymer Thin Films. Nano Lett. 2013, 13, 5117–5122. (137) Dinachali, S. S.; Bai, W.; Tu, K.-H.; Choi, H. K.; Zhang, J.; Kreider, M. E.; Cheng, L.C.; Ross, C. A. Thermo-Solvent Annealing of Polystyrene-Polydimethylsiloxane Block Copolymer Thin Films. ACS Macro Lett. 2015, 4, 500–504. (138) Kim, K.; Park, S.; Kim, Y.; Bang, J.; Park, C.; Ryu, D. Y. Optimized Solvent Vapor Annealing for Long-Range Perpendicular Lamellae in PS-b-PMMA Films. Macromolecules 2016, 49, 1722–1730. (139) Knoll, A.; Magerle, R.; Krausch, G. Phase Behavior in Thin Films of Cylinder-Forming ABA Block Copolymers: Experiments. J. Chem. Phys. 2004, 120, 1105–1116.

49 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 50 of 53

(140) Cavicchi, K. A.; Russell, T. P. Solvent Annealed Thin Films of Asymmetric Polyisoprene−Polylactide Diblock Copolymers. Macromolecules 2007, 40, 1181–1186. (141) Park, S.; Kim, B.; Xu, J.; Hofmann, T.; Ocko, B. M.; Russell, T. P. Lateral Ordering of Cylindrical Microdomains Under Solvent Vapor. Macromolecules 2009, 42, 1278–1284. (142) Albert, J. N. L.; Young, W.-S.; Lewis, R. L.; Bogart, T. D.; Smith, J. R.; Epps, T. H. Systematic Study on the Effect of Solvent Removal Rate on the Morphology of Solvent Vapor Annealed ABA Triblock Copolymer Thin Films. ACS Nano 2012, 6, 459–466. (143) Baruth, A.; Seo, M.; Lin, C. H.; Walster, K.; Shankar, A.; Hillmyer, M. A.; Leighton, C. Optimization of Long-Range Order in Solvent Vapor Annealed Poly(styrene)-block-poly(lactide) Thin Films for Nanolithography. ACS Appl. Mater. Interfaces 2014, 6, 13770–13781. (144) Zhang, J.; Posselt, D.; Sepe, A.; Shen, X.; Perlich, J.; Smilgies, D.-M.; Papadakis, C. M. Structural Evolution of Perpendicular Lamellae in Diblock Copolymer Thin Films During Solvent Vapor Treatment Investigated by Grazing-Incidence Small-Angle X-Ray Scattering. Macromol. Rapid Commun. 2013, 34, 1289–1295. (145) Zhang, J.; Posselt, D.; Smilgies, D.-M.; Perlich, J.; Kyriakos, K.; Jaksch, S.; Papadakis, C. M. Lamellar Diblock Copolymer Thin Films During Solvent Vapor Annealing Studied by GISAXS: Different Behavior of Parallel and Perpendicular Lamellae. Macromolecules 2014, 47, 5711–5718. (146) Gu, X.; Gunkel, I.; Hexemer, A.; Gu, W.; Russell, T. P. An in-situ Grazing Incidence XRay Scattering Study of Block Copolymer Thin Films During Solvent Vapor Annealing. Adv. Mater. 2014, 26, 273–281. 50 ACS Paragon Plus Environment

Page 51 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(147) Gunkel, I.; Gu, X.; Sun, Z.; Schaible, E.; Hexemer, A.; Russell, T. P. An in Situ GISAXS Study of Selective Solvent Vapor Annealing in Thin Block Copolymer Films: Symmetry Breaking of In-Plane Sphere Order Upon Deswelling. J. Polym. Sci. Part B: Polym. Phys. 2016, 54, 331–338. (148) Bai, W.; Yager, K. G.; Ross, C. A. In Situ Characterization of the Self-Assembly of a Polystyrene–Polydimethylsiloxane Block Copolymer During Solvent Vapor Annealing. Macromolecules 2015, 48, 8574–8584 (149) Murphy, J. N.; Harris, K. D.; Buriak, J. M. Automated Defect and Correlation Length Analysis of Block Copolymer Thin Film Nanopatterns. PLOS ONE 2015, 10, e0133088. (150) Jin, C.; Olsen, B. C.; Wu, N. L. Y.; Luber, E. J.; Buriak, J. M. Sequential Nanopatterned Block Copolymer Self-Assembly on Surfaces. Langmuir 2016, 32, 5890–5898. (151) Williamson, L. D.; Seidel, R. N.; Chen, X.; Suh, H. S.; Rincon Delgadillo, P.; Gronheid, R.; Nealey, P. F. Three-Tone Chemical Patterns for Block Copolymer Directed Self-Assembly. ACS Appl. Mater. Interfaces 2016, 8, 2704–2712. (152) Paradiso, S. P.; Delaney, K. T.; García-Cervera, C. J.; Ceniceros, H. D.; Fredrickson, G. H. Cyclic Solvent Annealing Improves Feature Orientation in Block Copolymer Thin Films. Macromolecules 2016, 49, 1743–1751. (153) Li, W.; Müller, M. Defects in the Self-Assembly of Block Copolymers and Their Relevance for Directed Self-Assembly. Annu. Rev. Chem. Biomol. Eng. 2015, 6, 187–216.

51 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 52 of 53

(154) Harrison, C.; Adamson, D. H.; Cheng, Z.; Sebastian, J. M.; Sethuraman, S.; Huse, D. A.; Register, R. A.; Chaikin, P. M. Mechanisms of Ordering in Striped Patterns. Science 2000, 290, 1558–1560. (155) Horvat, A.; Sevink, G. J. A.; Zvelindovsky, A. V.; Krekhov, A.; Tsarkova, L. Specific Features of Defect Structure and Dynamics in the Cylinder Phase of Block Copolymers. ACS Nano 2008, 2, 1143–1152. (156) Tiron, R.; Chevalier, X.; Couderc, C.; Pradelles, J.; Bustos, J.; Pain, L.; Navarro, C.; Magnet, S.; Fleury, G.; Hadziioannou, G. Optimization of Block Copolymer Self-Assembly Through Graphoepitaxy: A Defectivity Study. J. Vac. Sci. Technol. B 2011, 29, 06F206.

52 ACS Paragon Plus Environment

Page 53 of 53

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Table of contents image

53 ACS Paragon Plus Environment