Network Connectivity and Long-Range Continuity ... - ACS Publications

Jan 23, 2012 - ACS eBooks; C&EN Global Enterprise .... The continuity of block copolymer domains in bulk systems has been carefully considered for ...
0 downloads 0 Views 3MB Size
Article pubs.acs.org/Macromolecules

Network Connectivity and Long-Range Continuity of Lamellar Morphologies in Block Copolymer Thin Films Ian P. Campbell, Gawain J. Lau, Jonathan L. Feaver, and Mark P. Stoykovich* Department of Chemical and Biological Engineering, University of Colorado at Boulder, Boulder, Colorado 80309, United States S Supporting Information *

ABSTRACT: The connectivity, and thus long-range continuity of the domains, in a lamellar polystyrene-block-poly(methyl methacrylate) copolymer in thin films depends on the volume fraction of each block and can be shifted by homopolymer addition to substratespanning continuity of either the polystyrene (PS) or poly(methyl methacrylate) (PMMA) domains. Essential features of the lamellar morphology were captured by a simple network analysis that quantified the number of branch points and end points in the lamellar domains. The transition in network continuity from the PS to PMMA domain as a function of copolymer volumetric composition (from f PMMA = 0.45 to 0.55) was correlated with a 5-fold increase in the PMMA branch point density and a concomitant 3-fold reduction in the PMMA end point density. These results indicate that the copolymer’s composition drastically impacts the selfassembled lamellar morphology in thin films and is an important design consideration when using such materials for lithographic applications, including for directed assembly to generate long-range, defect-free order.



INTRODUCTION The directed self-assembly of block copolymers in thin films is an emerging technology with the potential to compete with traditional lithographic techniques for patterning at the nanoscale.1−9 Self-assembling materials such as block copolymers have many advantages as lithographic materials, including tunable feature sizes below 50 nm, parallel assembly over arbitrarily large areas, and the potential for lower patterning costs. 10−15 The morphology and feature size of the nanostructures self-assembled by diblock copolymers are dictated by the overall molecular weight of the copolymer and the relative volume fraction of the components.16−21 Morphologies commonly formed by diblock copolymers in thin films are lamellae, close-packed cylinders, spheres, and the bicontinuous gyroid.16−18,20,22 Among these morphologies, lamellar structures have attracted interest for the patterning of integrated circuit layouts23−26 and the fabrication of nanomeshes27 and optical filters,28,29 whereas cylindrical structures have been pursued for high density storage media, 30−32 photonic devices, 33−35 or filtration membranes.36−39 Controlled orientation of the block copolymer nanostructures with respect to the substrate is also an important parameter for lithographic applications, with lamellae and cylinders in thin films being able to assemble with interfaces between domains oriented either perpendicular or parallel to the substrate. Most lithographic applications that involve pattern transfer or templating to the substrate benefit from continuous pathways through the thickness of the film; therefore, approaches such as nonpreferential wetting surfaces40−45 and electric fields46,47 have been developed to achieve perpendicular domain orientations in thin films. © 2012 American Chemical Society

The lamellar morphology formed by block copolymers in thin films lacks long-range order and has highly curved, tortuous, and intertwined domains when the interface between domains is oriented perpendicular to the substrate. This block copolymer morphology may be informally referred to as the “fingerprint” morphology due to structural resemblances with the loops, whorls, and arches found in human fingerprints. Although the lamellar morphology is readily recognized upon top-down imaging of the film surface by atomic force or scanning electron microscopy, the finer details of the morphology have remained unexplored, including the extent of domain continuity, the network connectivity due to branching within the domains, and the impact of the copolymer composition on the nanostructure. The continuity of block copolymer domains in bulk systems has been carefully considered for applications that require materials with threedimensional percolating pathways for transport (e.g., material or charge transport), with bicontinuous morphologies such as gyroids,22 perforated lamellae,48−50 cubic bicontinuous,35,51 and double-diamond bicontinuous52 being of particular interest. Continuous networks of lamellar domains in thin films can also allow for long-range, in-plane transport within the nanostructured material. For example, an interconnected arrangement of metal nanowires deposited on glass using a lamellar block copolymer mask may be applied as a transparent, large area electrode. Received: November 18, 2011 Revised: January 4, 2012 Published: January 23, 2012 1587

dx.doi.org/10.1021/ma2025336 | Macromolecules 2012, 45, 1587−1594

Macromolecules

Article

Silicon and rinsed in acetone and toluene prior to any processing. Wafers were completely dried using a stream of nitrogen. A random copolymer was synthesized from styrene, methyl methacrylate, and glycidyl methacrylate by free radical polymerization (final molar composition of 58% styrene, 31% methyl methacrylate, and 1% glycidyl methacrylate).40,44 A 0.3 wt % solution of the random copolymer in anhydrous toluene (EMD Chemicals, 99.8 wt %) was prepared and spin-coated onto dry silicon wafers. Annealing at 160 °C for 4 h cross-linked the random copolymer into a dense mat, and subsequent rinsing in a toluene bath removed unreacted polymer. Block Copolymer Processing. Thin films of block copolymer were spun onto the prepared neutral surfaces and thermally annealed to self-assemble the characteristic lamellar morphologies. Block copolymer [polystyrene-block-poly(methyl methacrylate) or PS-bPMMA, 53K:54K, PDI = 1.16], polystyrene homopolymer (22.2K, PDI = 1.07), and poly(methyl methacrylate) homopolymer (21.4K, PDI = 1.07) were used as purchased from Polymer Source, Inc. Individual solutions of block copolymer and homopolymer were prepared by weighing out dry polymer and adding anhydrous toluene dropwise to make solutions of 1.5 wt % polymer. Blends of block copolymer and homopolymer were prepared by mixing the stock solutions in the desired ratios. The fraction of homopolymer added varied from blend to blend and ranged from 5 wt % PS to 15 wt % PMMA. Polymer solutions were spin-coated onto Si wafers treated with the neutral surface to produce films ∼50 nm thick. The polymer films were annealed under ∼2 Torr vacuum for 10 days at 190 °C to self-assemble the block copolymer morphologies. These annealing conditions resulted in lamellar morphologies that have quantitative characteristics (i.e., periodicity and connectivity) that are reflective of thin films of block copolymers primarily undergoing defect annihilation at long times. The lamellar periodicity (L0) ranged from 59 to 63 nm as quantified from FFT analysis of SEM images obtained after thermal annealing. Nanostructure Imaging. The block copolymer morphology in thin films was imaged by top-down scanning electron microscopy (SEM) using a JEOL JSM-7401F operating at an accelerating voltage of 2 kV and a sample bias of 1 kV. The gun emission current was maintained at 10 mA, and all images were captured using an in-lens secondary electron detector. Each image was captured at a magnification of 25 000. The nanostructure characterized at the top surface of the film can be assumed to propagate through the entire film to the substrate, which is a reasonable assumption for perpendicular block copolymer domains in films with thicknesses less than or approximately equal to L0 and has been shown in the literature for similar systems using cross-sectional electron microscopy54 or scattering techniques.64 Characterization of the Lamellar Block Copolymer Morphology. The lamellar morphology was characterized using an in-house image analysis program coded in Matlab (v. R2011a, The Mathworks, Natick, MA). The raw SEM images were filtered and converted from grayscale to black and white in Matlab. The dark gray/black and light gray/white regions in the images represent the PMMA and PS domains, respectively. Filtering was able to approximately equalize the width of the PS and PMMA domains in the raw SEM images. Continuous networks formed by the black and white pixels were extracted and subsequently assigned a unique numerical label and distinct color. Quantitative metrics of the network connectivity and continuity in both the PS and PMMA domains were calculated for individual images and reported as the average results from 10 distinct SEM images. The relative size of the networks was calculated by counting the number of pixels in each network. Each area was then normalized to the total number of pixels in the image. The areal density of end points and branch points in the networks was also quantified. The network structures were skeletonized (i.e., reduced to a continuous width of a single pixel) and despurred (i.e., small branches and pixels that do not contribute to connectivity were eliminated) to remove any anomalous branches produced by localized variations in domain width. Each branch point was eliminated when identified to prevent double counting and to subdivide the skeleton for the calculation of curvature.

Directed self-assembly of lamellar-forming block copolymers into dense arrays of lines with long-range order, using chemically patterned substrates,23,53−56 graphoepitaxy in topographic features,57−61 or spontaneous alignment due to thickness gradients,62 would also benefit from a better understanding of the network connectivity and continuity of the native lamellar structures. Dislocation and disclination defects that arise during directed assembly may occur with frequencies and in configurations dependent on the connectivity of the lamellar structure.63 These properties of the defects are likely to influence their ability to be annihilated during thermal annealing processes as well as the dynamics and rates with which defect-free assembly can be achieved.63 Furthermore, the propagation of the defect-free assemblies of lamellae away from chemically patterned substrates is limited to ∼100 nm thick films. In increasingly thick films, the directing effect of the underlying substrate is diminished, and the lamellar morphology gradually reverts to its native “fingerprint” morphology with significant branching between domains and no long-range order. The use of lamellar copolymer systems with minimal branching and domain connectivity may suppress the initial formation of such interconnected networks and allow directed assembly of defect-free arrays to be achieved in thicker films. In this work we characterize the connectivity of block copolymer lamellae in thin films oriented perpendicular to a nonpreferential wetting substrate and present a straightforward method to control the continuity of the self-assembled network structures. Networks formed by simple block copolymer systems vary from exhibiting substrate-spanning continuity in the PS domain to substrate-spanning continuity in the PMMA domain. The density of branch points and end points in the network and the distribution of network sizes are discovered to follow trends associated solely with domain connectivity. The overall composition of the self-assembling material was systematically varied by blending a lamellar-forming diblock copolymer of polystyrene-block-poly(methyl methacrylate) with either polystyrene (PS) or poly(methyl methacrylate) (PMMA) homopolymer. The lamellar morphology and domain connectivity are symmetric around a volume fraction near the block copolymer blend with equal volume fractions of PS and PMMA (50 vol % PS and 50 vol % PMMA), demonstrating that the lamellar structure for this system is primarily a function of overall composition. Finally, distributions of the interfacial curvature are reported for each lamellar system, and the standard deviation of curvature is found to increase with compositional asymmetry, indicative of more highly curved networks. These results show that all lamellar morphologies formed by block copolymers in thin films are not structurally equivalent and thus will impact the selection of such materials for lithographic and templating applications, including in the directed self-assembly of microelectronics devices.



MATERIALS AND METHODS

Substrate Preparation. Block copolymers in thin films on substrates that are preferentially wet by one of the components selfassemble into morphologies oriented parallel to the substrate; e.g., PMMA preferentially wets the native oxide on Si wafers leading to parallel-oriented morphologies. Here, a “neutral” surface that is nonpreferentially wet by either of the block copolymer components is used to orient the assembled lamellar morphologies perpendicular to the substrate, which is the preferred orientation for templating or lithographic applications due to the domain continuity from the top to the bottom of the film. Silicon wafers were purchased from Montco 1588

dx.doi.org/10.1021/ma2025336 | Macromolecules 2012, 45, 1587−1594

Macromolecules

Article

Curvature was calculated by first fitting a fifth-order polynomial to each point and the six nearest-neighboring points on the skeleton and then by applying the curvature equation κ = |y″|/(1 + y′2)3/2, where y is the polynomial function of x at each position on the skeleton. Subdividing the skeleton into 7-point sections was determined to be sufficient to capture the local curvature of the network structure without the danger of a poor fit due to multivalued S-shaped curves. Calculations using polynomial fits based on fewer than 7 points were unable to accurately capture the behavior of highly curved structures.

palette. Domains with a high degree of continuity produce a single network that span the entire imaged area, connect every image border, and leave very few unlinked regions. Low continuity in the lamellar domains is typified, in comparison, by a large number of distinct segments that are each relatively small compared to the overall image. The PS domain in the pure diblock copolymer characterized in Figure 1 formed large networks and was highly interconnected, as emphasized by the large red network that spans the entire imaged area (3.56 μm × 4.80 μm). There are some isolated PS features within the larger network, generally resembling small segments or loops, but their fraction of the total image area is small. On the other hand, the PMMA domain was separated into many short segments and there was no single network that connected multiple borders of the image. The composition of this block copolymer blend system is slightly asymmetric, with volume fractions ( f) of 0.524 for the PS component and 0.476 for PMMA component. This slight asymmetry in composition was sufficient to produce additional connectivity in the PS domains, in the form of additional “branches” or “bridges”, that generated large, continuous PS networks and concomitantly divided the PMMA domains into short, distinct segments. The network connectivities of block copolymer blend systems with f PMMA = 0.45, 0.48, 0.50, 0.52, and 0.55 are shown in Figure 2. The largest continuous PS network is shown in red for each system and the largest continuous PMMA networks are shown in blue. The largest networks in some cases span nearly the entire field of view but do not include small networks that border the image boundary. It is important to note, however, that these small features are likely connected to the primary network at some point outside the field of view. The largest and most highly interconnected networks transitioned from occurring in the PS to the PMMA domain as the volume fraction of PMMA was increased from f PMMA = 0.45 to 0.55. Changes in network structure are most visible between copolymer blend systems with 0.48 ≤ f PMMA ≤ 0.52. A single PS network is observed over the entire field of view for f PMMA = 0.48 (the corresponding PMMA domain displays short, distinct segments due to low connectivity), but conversely many short PS segments are formed when f PMMA = 0.52 (now the PMMA domain forms a large-scale network). Systems with f PMMA = 0.50 have high connectivity and large networks in both of the lamellar domains, with some networks spanning large areas of the field of view. The network structures are therefore qualitatively symmetric around the copolymer− homopolymer blend composition f PMMA ≈ 0.50, even though the homopolymer fractions range from 5 wt % PS to 15 wt % PMMA for f PMMA = 0.45 to 0.55, respectively. This observation suggests that the homopolymer concentration primarily shifts the system composition and does not significantly influence the overall continuity and connectivity of the lamellar morphologies self-assembled by the copolymer systems. Subtle and quantitative details of the lamellar-forming blend systems, such as L0 and the compositional symmetry point of the lamellar phase, are likely influenced by the inclusion of the homopolymer component and its concentration, but not to a significant enough extent as to be captured in the PS/PMMA system characterized here. The highly connected networks span much larger areas than those characterized in Figures 1 and 2. Composite images covering at least 8.8 μm × 4.6 μm were stitched together from six neighboring SEM snapshots to provide large area images with a high resolution. The large area composite images, as well



RESULTS Figure 1 shows an example of a colorized network image for lamellae assembled by a pure PS-b-PMMA block copolymer to

Figure 1. Network analysis of an assembled PS-b-PMMA (53K:54K) thin film with fPMMA = 0.476. The raw SEM image is shown in (a) with colorized networks for the (b) PS and (c) PMMA domains. Images are 3.56 μm × 4.8 μm, and the scale bar corresponds to 400 nm.

demonstrate the native continuity and connectivity of the polystyrene (PS) and poly(methyl methacrylate) (PMMA) domains. Figure 1a shows the raw SEM image, and Figures 1b and 1c provide the corresponding colorized maps of the connected PS and PMMA domains, respectively. Each connected domain is shown in a separate color to provide visual information on the overall connectivity of the lamellae, but some colors are repeated in the image due to a limited color 1589

dx.doi.org/10.1021/ma2025336 | Macromolecules 2012, 45, 1587−1594

Macromolecules

Article

Figure 3. Branch point and end point density [number/μm2] for PS (▲) and PMMA (■) domains over a range of blend compositions. Branch density increases with increasing volume fraction and leads to greater network connectivity. The number of end points in a domain increases as the volume fraction of that component decreases, corresponding to a more disjointed network. Error bars correspond to the standard deviation for 10 images.

component have a high density of end points and a low density of branch points. Increased concentration of one component leads directly to an increase in the number of bridges between neighboring lamellae in that domain and a concomitant decrease in the density of lamellar end points. The domain with the greater density of branch points transitions from the PS domain for f PMMA < 0.49 to the PMMA domain for f PMMA > 0.51. This crossover point at f PMMA ≈ 0.50 corresponds to a shift in the majority component of the copolymer blend and the formation of larger, more interconnected networks. The curves of branch point and end point density are nearly symmetric around f PMMA ≈ 0.50 for the copolymer components, which indicates that there is relatively little asymmetry in this diblock copolymer system. A simple model of lamellar connectivity in thin films can provide insight into the long-range continuity of networks in the case of a copolymer system with a perfectly symmetric composition ( f PMMA = 0.50). Starting with a simple lamellar network of parallel domains, with the lamellae extended to the extremes of the film as shown in Figure 4a, the adjacent domains of PS or PMMA are not interconnected, and there is not a single network that spans the entire film. In order to form a larger PS network, an interconnection or bridge must form between adjacent PS domains. Simultaneously, the PMMA domains must also form an interconnection in order to maintain the compositional symmetry of the system (f PMMA = 0.50). An example of this process is shown in Figure 4b. The formation of the PS bridge requires that two PS domains are joined into a larger continuous domain, and a PMMA domain is divided in two smaller segments. The subsequent addition of a PMMA interconnection couples two adjacent PMMA

Figure 2. Network connectivity of PS (left column) and PMMA (right column) as a function of volume fraction. As the volume fraction for each component decreases, the number of connected networks increases and the average size of each network decreases. Images are 3.56 μm × 4.8 μm, and the scale bars correspond to 400 nm.

as the colorized PS and PMMA network structures of each image, for the pure block copolymer system (f PMMA = 0.48) and the block copolymer−homopolymer blend system with f PMMA = 0.52 are included in the Supporting Information. Continuous networks that cover the majority of these large areas are observed for the domain with the higher volumetric concentration in these asymmetric block copolymer blend systems. Some isolated networks exist within the primary network but occur with low number and areal frequency. On the basis of the analysis of these large areas, it is reasonable to conclude that continuous, interconnected lamellar networks are generated over macroscale areas for a film of block copolymers with asymmetric compositions. Network connectivity and continuity are directly correlated to the composition of the block copolymer system. As the extent of branching increases, the continuity of the network is enhanced and the probability of forming isolated, noninterconnected domains is diminished. The areal density of branch points and end points in the lamellar morphologies are shown in Figure 3 as a function of the volume fraction of PMMA in the copolymer blend systems. Individual points in Figure 3 were averaged over 10 distinct SEM images, and the error bars correspond to one standard deviation of the measurements. The lamellar domains of the minority 1590

dx.doi.org/10.1021/ma2025336 | Macromolecules 2012, 45, 1587−1594

Macromolecules

Article

Figure 5 shows distributions of the size of the continuous networks in the PS (red) and PMMA (blue) domains. The

Figure 4. For f = 0.50: (a) Perfectly aligned lamellar block copolymer. (b) As connections form, larger networks are generated but divide other domains. (c) When assembled randomly, the networks are highly branched and interweave but still do not span the entire substrate. (d) An ideal network may be where both domains are fully connected, but neither domain connects all four substrate borders. The numbers of end points and branch points for each component are shown below the image.

domains into a single network and concomitantly subdivides a single PS domain into two separate domains. This pairwise process of adding PS and PMMA interconnections to the system can be repeated (nine times as in Figure 4c) until the lamellae resemble the network morphology observed experimentally in the self-assembled films with f PMMA = 0.50 (see Figure 2c). Each bridge that is formed separates the opposite domain into smaller segments with end points, and therefore neither component can have substrate-spanning connectivity across an entire surface. An extreme case is shown in Figure 4d, where both the PMMA and PS domains exist as single networks but do not contact all four substrate borders. Copolymer blend systems with asymmetric compositions (f PMMA < 0.50 or f PMMA > 0.50) theoretically have excess material to form a single network that reaches every substrate border. Low compositional asymmetry does not experimentally lead to long-range network connectivity, however, due to the nature of the self-assembly process and the randomness with which the branch points are located within the network. Lamellar systems with greater compositional asymmetry have a high enough spatial concentration of bridging to overcome the randomness of the self-assembly processes, such that a single network is generated that spans the entire surface of the thin film. A continuous network spanning the entire field of view can easily be observed in Figure 2 in domains for which the volume fraction is equal to 0.52. In both cases (the PS domain for f PMMA = 0.48 and the PMMA domain for f PMMA = 0.52) the largest network is continuous between all four borders of the image and covers a high fraction of the image area. The domain of the minority component is divided into many short segments. Lamellae in block copolymer blend systems with greater compositional asymmetry exhibit similar degrees of continuity and network sizes.

Figure 5. Normalized size distribution of connected networks of PS (red) and PMMA (blue) at different volume fractions of PMMA. The area of each connected network in an image was found and resized as a fraction either the total PS or PMMA domain size (e.g., a single connected network for PMMA or PS in an image corresponds to a size of 1) and weighted based on size (e.g., a single network at size 1 has a weight of 1). Distributions were averaged over 10 images.

normalized size of each connected network was calculated by counting the number of pixels and dividing by the total number of pixels for that domain in the entire image. The normalized network size was reported as 1.0 in the limit that only one network was present for a particular domain in an image, and each network was weighted by its size in the histogram. Distributions of network size shown in Figure 5 were averaged over 10 distinct images. The size distributions quantitatively capture the shift from small networks or segments in domains with low volumetric concentrations ( f = 0.45) to large, nearly fully interconnected networks in domains with high volumetric concentrations (f = 0.55). For example, the PMMA domains formed by a copolymer blend with f PMMA = 0.45 are all less than 5% of the image area, and there is no larger network. Copolymer blends with f PMMA = 0.55 formed large networks such that the majority of the PMMA domains consumed between 70% and 95% of each image area. The normalized size distributions measured for the PS and PMMA domains are slightly different, with the PS domains displaying a greater propensity than the PMMA domains for forming very large networks at asymmetric copolymer blend compositions. Unlike the PMMA domain, when f PS = 0.45 (i.e., the f PMMA = 0.55 system), only 75% of the PS networks consume less than 5% of the image area and there is a small tail of larger networks. Similarly, when f PS = 0.55 (i.e., the f PMMA = 0.45 system), 1591

dx.doi.org/10.1021/ma2025336 | Macromolecules 2012, 45, 1587−1594

Macromolecules

Article

(oxybutylene)) have shown that the symmetry points in lamellar structures can be shifted to as much as f = 0.59,51,70 and thus the transition between the predominant networkforming domains can be anticipated to occur at substantially more asymmetric compositions for block copolymer systems other than PS-b-PMMA. The curvature of the PS/PMMA interface between lamellae has been characterized as a function of the asymmetry of the copolymer blend composition. Figure 6a shows an example of

nearly all the PS networks fill 90−100% of the PS lamellae in the field of view. Copolymer blends with the symmetric composition of f PMMA = 0.50 display nearly equivalent normalized size distributions for the PS and PMMA domains; a small fraction of networks span more than 50% of the image area, many networks occupy 10−50% of image area, and most frequently short segments form that take up less than 10% of the image size. Increasing the compositional asymmetry of lamellar-forming copolymers leads to increases in branching in the majority domain (Figure 3) and thus more interconnections and larger continuous network structures (Figure 5). These quantitative results are consistent with the lamellar structures captured in Figure 2. The greater density of end points observed in the PMMA domains than the PS domains at low volume fractions of each component (Figure 3) can explain the small differences in the normalized size distributions of the PS and PMMA networks. Copolymer systems with f PMMA = 0.45 and 0.48 have more end points, and thus form more short segments, in the minority PMMA domain than observed in the minority PS domain for systems with f PMMA = 0.52 and 0.55. This variation in end point density at high asymmetries in copolymer blend composition may be attributed to differences in the homopolymer concentration in the copolymer systems. Higher weight fractions of homopolymer in the majority component (15 wt % PMMA versus 5 wt % PS at f PMMA = 0.55 and 0.45, respectively) perhaps allow the majority component to more readily accommodate highly connected domains of the minority component, thereby leading to the decrease in end point density and the breadth of the size distribution observed for the PS domains at f PMMA = 0.55. An additional contributing factor may be that the lamellarforming PS/PMMA systems are unlikely to be perfectly symmetric around compositions of f PMMA = 0.50. Matsen and Bates performed studies of conformationally asymmetric block copolymers and found that the ratio of the statistical segment lengths (a) between the components had a strong effect on the order−order phase boundaries.65,66 The volume fraction at which the lamellar domain interfaces have zero spontaneous curvature, i.e., the symmetry point in a bulk A/B copolymer phase diagram, can be calculated by the equation aA fA,sym = aA + aB

Figure 6. (a) Example of curvature distribution for fPMMA = 0.48. (b) Standard deviation of interfacial curvature in PS-b-PMMA thin films of varying PMMA volume fraction. Larger standard deviations correspond to an increased width in the curvature distribution, indicating an increased presence of highly curved interfaces in asymmetric blends.

Using the statistical segment lengths for polystyrene given by Ballard et al.67 (aPS = 6.8 Å) and for poly(methyl methacrylate) given by Kirste et al.68,69 (aPMMA = 7.4 Å), the point of zero spontaneous curvature for PS-b-PMMA is predicted to be f PMMA ≈ 0.52. This prediction is similar to the symmetry point f PMMA ≈ 0.50, or more broadly 0.50 < f PMMA < 0.52, measured here qualitatively in terms of the predominant network-forming domain (Figure 2) and quantitatively in terms of the crossover point in the end point/branch point densities (Figure 3). The theoretical calculation of the point of zero spontaneous curvature predicts the observed direction of asymmetry from f PMMA = 0.50 toward a slightly PMMA-rich system. If the experimental symmetry point is shifted toward PMMA-rich compositions from f PMMA = 0.50, this could also serve to explain some of the observed differences in domain size distributions (Figure 5) between PS and PMMA at the same volume fractions. Experimental determination of the phase diagrams of other diblock copolymer systems (e.g., polystyreneblock-polyisoprene and poly(oxyethylene)-block-poly-

the distribution of interfacial curvatures for the lamellae formed by the pure block copolymer (f PMMA = 0.476), and Figure 6b shows the standard deviation of the interfacial curvature distributions as a function of copolymer composition. Each distribution of interfacial curvature was fit to a Gaussian curve from which the amplitude, mean, and standard deviation were determined. The amplitude and mean of the interfacial curvatures of the lamellae were dominated by the high fraction of linear interfaces with low curvature (radii of curvature ≥1000 nm) and were statistically independent of copolymer composition. On the other hand, the standard deviation of interfacial curvature increases with greater compositional asymmetry in the copolymer as shown in Figure 6b. The increasing width of the distribution indicates a shift in curvature away from the mean toward more highly curved lamellae and 1592

dx.doi.org/10.1021/ma2025336 | Macromolecules 2012, 45, 1587−1594

Macromolecules

Article

copolymer lamellae assembled in wider areas between the directing features lack long-range order (i.e., revert to the “fingerprint” morphology).77 We believe that, based on the results presented here, the application of lamellar-forming copolymer systems optimized to have structural symmetry and thus reduced branch point and end point densities may enable defect-free directed assembly over wider areas by graphoepitaxy and density multiplication techniques.

interfaces between domains. The greater curvature in asymmetric systems can be attributed in part to increased densities of branches and end points that have highly curved interfaces (radii of curvature on the order of the lamellar spacing ∼25 nm or curvatures of ∼0.04 nm−1). The uniform broadening of the curvature distributions with greater compositional asymmetry is also observed for curvatures less than ∼0.04 nm−1, which indicates that variations in the branch and end point density cannot fully explain this observation and that likely there are small changes in the lamellar tortuosity that arise with copolymer composition. In conclusion, the lamellar morphology of block copolymers self-assembled in thin films is not structurally equivalent for all systems and has been found to depend upon small variations in the compositional symmetry of the copolymer. The connectivity provided by branching and long-range continuity of the lamellar domain of the majority component increases with greater asymmetry to provide a single, continuous network that spans the entire substrate at volume fractions f ≥ 0.52. The interwoven domains of the corresponding minority component form more end points and short, isolated segments with greater compositional asymmetry in the copolymer system. Blending homopolymer into the block copolymer system provides a simple route to fine-tune the network continuity and lamellar structure in a block copolymer thin film. The results presented here also provide insight into the directed self-assembly of block copolymer structures with longrange order and that are defect-free over wafer-scale areas. In the case of directed assembly of dense arrays of 1:1 line:space features in thin films using chemically patterned substrates, the formation of bridges and end points in the lamellar block copolymer domains may be suppressed such that the selfassembled structures perfectly match the underlying surface pattern geometry. The total free energy required to eliminate all of the branch points and end points that occur during directed assembly, often observed in the form of dislocation and disclination defects, is dependent upon the natural concentration of such features in the block copolymer system and should therefore be greater with increasing compositional asymmetry. Block copolymer systems with high compositional asymmetry may be so mismatched from the directing surface pattern that the pattern cannot provide a sufficient energetic driving force for defect-free assembly, thereby requiring the formation of dislocation or disclination defects, interfacial roughness, and angled sidewalls between the domains. In general, the optimal conditions for defect-free directed assembly by chemically patterned surfaces occur when the volumetric composition of the block copolymer system matches the areal composition of the surface pattern, regardless of the extent of asymmetry. The directed assembly of distinct geometries in close proximity therefore presents an unaddressed challenge in the field and requires either control over the local composition of the block copolymer system or the selection of a single block copolymer system that can energetically accommodate the entire range of geometries. Finally, directed assembly of lamellar-forming block copolymers by graphoepitaxy71,72 and density multiplication73−79 techniques require that the self-assembly process interpolates the position and geometry of the nanostructures between sparse topographic sidewalls and chemical surface features, respectively. Defect-free, long-range assembly of lamellae between sparse chemically patterned stripes has been achieved over areas with widths 3 times the lamellar period, while block



ASSOCIATED CONTENT

S Supporting Information *

Figures S1 and S2. This material is available free of charge via the Internet at http://pubs.acs.org.



AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected].



ACKNOWLEDGMENTS The authors thank Chunlin He for synthesizing the random copolymer brush used to create the neutral wetting surface. This work was performed in part at the University of Colorado’s Nanomaterials Characterization Facility. This research was supported in part by the NNIN at the Colorado Nanofabrication Laboratory and the National Science Foundation under Grant ECS-0335765.



REFERENCES

(1) Cheng, J. Y.; Ross, C. A.; Chan, V. Z. H.; Thomas, E. L.; Lammertink, R. G. H.; Vancso, G. J. Adv. Mater. 2001, 13 (15), 1174. (2) Whitesides, G. M.; Ostuni, E.; Takayama, S.; Jiang, X. Y.; Ingber, D. E. Annu. Rev. Biomed. Eng. 2001, 3, 335−373. (3) Cheng, J. Y.; Ross, C. A.; Thomas, E. L.; Smith, H. I.; Vancso, G. J. Appl. Phys. Lett. 2002, 81 (19), 3657−3659. (4) Hawker, C. J.; Russell, T. P. MRS Bull. 2005, 30 (12), 952−966. (5) Menke, E. J.; Thompson, M. A.; Xiang, C.; Yang, L. C.; Penner, R. M. Nature Mater. 2006, 5 (11), 914−919. (6) Black, C. T.; Ruiz, R.; Breyta, G.; Cheng, J. Y.; Colburn, M. E.; Guarini, K. W.; Kim, H. C.; Zhang, Y. IBM J. Res. Dev. 2007, 51 (5), 605−633. (7) Kim, B. H.; Shin, D. O.; Jeong, S. J.; Koo, C. M.; Jeon, S. C.; Hwang, W. J.; Lee, S.; Lee, M. G.; Kim, S. O. Adv. Mater. 2008, 20 (12), 2303. (8) Ross, C. A.; Cheng, J. Y. MRS Bull. 2008, 33 (9), 838−845. (9) Tang, C. B.; Hur, S. M.; Stahl, B. C.; Sivanandan, K.; Dimitriou, M.; Pressly, E.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Macromolecules 2010, 43 (6), 2880−2889. (10) Fasolka, M. J.; Mayes, A. M. Annu. Rev. Mater. Res. 2001, 31, 323−355. (11) Park, C.; Yoon, J.; Thomas, E. L. Polymer 2003, 44 (22), 6725− 6760. (12) Stoykovich, M. P.; Nealey, P. F. Mater. Today 2006, 9 (9), 20− 29. (13) Hamley, I. W. Prog. Polym. Sci. 2009, 34 (11), 1161−1210. (14) Bang, J.; Jeong, U.; Ryu, D. Y.; Russell, T. P.; Hawker, C. J. Adv. Mater. 2009, 21 (47), 4769−4792. (15) Kim, H. C.; Park, S. M.; Hinsberg, W. D. Chem. Rev. 2010, 110 (1), 146−177. (16) Ohta, T.; Kawasaki, K. Macromolecules 1986, 19 (10), 2621− 2632. (17) Bates, F. S.; Fredrickson, G. H. Annu. Rev. Phys. Chem. 1990, 41, 525−557. (18) Almdal, K.; Koppi, K. A.; Bates, F. S.; Mortensen, K. Macromolecules 1992, 25 (6), 1743−1751. (19) Matsen, M. W. Macromolecules 1995, 28 (17), 5765−5773. 1593

dx.doi.org/10.1021/ma2025336 | Macromolecules 2012, 45, 1587−1594

Macromolecules

Article

(20) Matsen, M. W.; Bates, F. S. Macromolecules 1996, 29 (4), 1091− 1098. (21) Fredrickson, G. H.; Bates, F. S. Annu. Rev. Mater. Sci. 1996, 26, 501−550. (22) Hajduk, D. A.; Harper, P. E.; Gruner, S. M.; Honeker, C. C.; Kim, G.; Thomas, E. L.; Fetters, L. J. Macromolecules 1994, 27 (15), 4063−4075. (23) Stoykovich, M. P.; Muller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Science 2005, 308 (5727), 1442−1446. (24) Daoulas, K. C.; Muller, M.; Stoykovich, M. P.; Papakonstantopoulos, Y. J.; De Pablo, J. J.; Nealey, P. F.; Park, S. M.; Solak, H. H. J. Polym. Sci., Part B: Polym. Phys. 2006, 44 (18), 2589−2604. (25) Craig, G. S. W.; Nealey, P. F. J. Photopolym. Sci. Technol. 2007, 20 (4), 511−517. (26) Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C. C.; de Pablo, J. J.; Mueller, M.; Nealey, P. F. ACS Nano 2007, 1 (3), 168− 175. (27) Jeong, S. J.; Xia, G. D.; Kim, B. H.; Shin, D. O.; Kwon, S. H.; Kang, S. W.; Kim, S. O. Adv. Mater. 2008, 20 (10), 1898. (28) Bockstaller, M. R.; Thomas, E. L. J. Phys. Chem. B 2003, 107 (37), 10017−10024. (29) Parnell, A. J.; Pryke, A.; Mykhaylyk, O. O.; Howse, J. R.; Adawi, A. M.; Terrill, N. J.; Fairclough, J. P. A. Soft Matter 2011, 7 (8), 3721− 3725. (30) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Science 2009, 323 (5917), 1030−1033. (31) Xiao, S. G.; Yang, X. M.; Park, S. J.; Weller, D.; Russell, T. P. Adv. Mater. 2009, 21 (24), 2516. (32) Hong, A. J.; Liu, C. C.; Wang, Y.; Kim, J.; Xiu, F. X.; Ji, S. X.; Zou, J.; Nealey, P. F.; Wang, K. L. Nano Lett. 2010, 10 (1), 224−229. (33) Fink, Y.; Urbas, A. M.; Bawendi, M. G.; Joannopoulos, J. D.; Thomas, E. L. J. Lightwave Technol. 1999, 17 (11), 1963−1969. (34) Urbas, A.; Sharp, R.; Fink, Y.; Thomas, E. L.; Xenidou, M.; Fetters, L. J. Adv. Mater. 2000, 12 (11), 812−814. (35) Urbas, A. M.; Maldovan, M.; DeRege, P.; Thomas, E. L. Adv. Mater. 2002, 14 (24), 1850−1853. (36) Yang, S. Y.; Ryu, I.; Kim, H. Y.; Kim, J. K.; Jang, S. K.; Russell, T. P. Adv. Mater. 2006, 18 (6), 709. (37) Phillip, W. A.; Rzayev, J.; Hillmyer, M. A.; Cussler, E. L. J. Membr. Sci. 2006, 286 (1−2), 144−152. (38) Yang, S. Y.; Park, J.; Yoon, J.; Ree, M.; Jang, S. K.; Kim, J. K. Adv. Funct. Mater. 2008, 18 (9), 1371−1377. (39) Jackson, E. A.; Hillmyer, M. A. ACS Nano 2010, 4 (7), 3548− 3553. (40) Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. J. Science 1997, 275 (5305), 1458−1460. (41) Mansky, P.; Russell, T. P.; Hawker, C. J.; Pitsikalis, M.; Mays, J. Macromolecules 1997, 30 (22), 6810−6813. (42) Huang, E.; Russell, T. P.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Hawker, C. J.; Mays, J. Macromolecules 1998, 31 (22), 7641− 7650. (43) In, I.; La, Y. H.; Park, S. M.; Nealey, P. F.; Gopalan, P. Langmuir 2006, 22 (18), 7855−7860. (44) Han, E.; Stuen, K. O.; La, Y. H.; Nealey, P. F.; Gopalan, P. Macromolecules 2008, 41 (23), 9090−9097. (45) Ji, S.; Liu, C. C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Macromolecules 2008, 41 (23), 9098−9103. (46) Morkved, T. L.; Lu, M.; Urbas, A. M.; Ehrichs, E. E.; Jaeger, H. M.; Mansky, P.; Russell, T. P. Science 1996, 273 (5277), 931−933. (47) Schaffer, E.; Thurn-Albrecht, T.; Russell, T. P.; Steiner, U. Nature 2000, 403 (6772), 874−877. (48) Delacruz, M. O.; Mayes, A. M.; Swift, B. W. Macromolecules 1992, 25 (2), 944−948. (49) Disko, M. M.; Liang, K. S.; Behal, S. K.; Roe, R. J.; Jeon, K. J. Macromolecules 1993, 26 (11), 2983−2986.

(50) Hajduk, D. A.; Takenouchi, H.; Hillmyer, M. A.; Bates, F. S.; Vigild, M. E.; Almdal, K. Macromolecules 1997, 30 (13), 3788−3795. (51) Khandpur, A. K.; Forster, S.; Bates, F. S.; Hamley, I. W.; Ryan, A. J.; Bras, W.; Almdal, K.; Mortensen, K. Macromolecules 1995, 28 (26), 8796−8806. (52) Thomas, E. L.; Alward, D. B.; Kinning, D. J.; Martin, D. C.; Handlin, D. L.; Fetters, L. J. Macromolecules 1986, 19 (8), 2197−2202. (53) Rockford, L.; Liu, Y.; Mansky, P.; Russell, T. P.; Yoon, M.; Mochrie, S. G. J. Phys. Rev. Lett. 1999, 82 (12), 2602−2605. (54) Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424 (6947), 411−414. (55) Tada, Y.; Akasaka, S.; Yoshida, H.; Hasegawa, H.; Dobisz, E.; Kercher, D.; Takenaka, M. Macromolecules 2008, 41 (23), 9267−9276. (56) Detcheverry, F. A.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2010, 43 (15), 6495−6504. (57) Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Adv. Mater. 2001, 13 (15), 1152. (58) Sundrani, D.; Darling, S. B.; Sibener, S. J. Nano Lett. 2004, 4 (2), 273−276. (59) Cheng, J. Y.; Mayes, A. M.; Ross, C. A. Nature Mater. 2004, 3 (11), 823−828. (60) Park, S. M.; Rettner, C. T.; Pitera, J. W.; Kim, H. C. Macromolecules 2009, 42 (15), 5895−5899. (61) Han, E.; Kang, H. M.; Liu, C. C.; Nealey, P. F.; Gopalan, P. Adv. Mater. 2010, 22 (38), 4325−4329. (62) Kim, B. H.; Lee, H. M.; Lee, J. H.; Son, S. W.; Jeong, S. J.; Lee, S.; Il Lee, D.; Kwak, S. U.; Jeong, H.; Shin, H.; Yoon, J. B.; Lavrentovich, O. D.; Kim, S. O. Adv. Funct. Mater. 2009, 19 (16), 2584−2591. (63) Kim, S. O.; Kim, B. H.; Kim, K.; Koo, C. M.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H. Macromolecules 2006, 39 (16), 5466−5470. (64) Kellogg, G. J.; Walton, D. G.; Mayes, A. M.; Lambooy, P.; Russell, T. P.; Gallagher, P. D.; Satija, S. K. Phys. Rev. Lett. 1996, 76 (14), 2503−2506. (65) Matsen, M. W.; Bates, F. S. J. Polym. Sci., Part B: Polym. Phys. 1997, 35 (6), 945−952. (66) Matsen, M. W. J. Phys.: Condens. Matter 2002, 14 (2), R21−R47. (67) Ballard, D. G. H.; Wignall, G. D.; Schelten, J. Eur. Polym. J. 1973, 9 (9), 965−969. (68) Kirste, R. G. Makromol. Chem. 1967, 101, 91. (69) Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Macromolecules 1990, 23 (3), 890−893. (70) Ryan, A. J.; Mai, S. M.; Fairclough, J. P. A.; Hamley, I. W.; Booth, C. Phys. Chem. Chem. Phys. 2001, 3 (15), 2961−2971. (71) Park, S. M.; Stoykovich, M. P.; Ruiz, R.; Zhang, Y.; Black, C. T.; Nealey, P. E. Adv. Mater. 2007, 19 (4), 607. (72) Jeong, S. J.; Kim, J. E.; Moon, H. S.; Kim, B. H.; Kim, S. M.; Kim, J. B.; Kim, S. O. Nano Lett. 2009, 9 (6), 2300−2305. (73) Ruiz, R.; Kang, H. M.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Science 2008, 321 (5891), 936−939. (74) Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H. C.; Hinsberg, W. D. Adv. Mater. 2008, 20 (16), 3155−3158. (75) Tada, Y.; Akasaka, S.; Takenaka, M.; Yoshida, H.; Ruiz, R.; Dobisz, E.; Hasegawa, H. Polymer 2009, 50 (17), 4250−4256. (76) Detcheverry, F. A.; Liu, G. L.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2010, 43 (7), 3446−3454. (77) Liu, G. L.; Thomas, C. S.; Craig, G. S. W.; Nealey, P. F. Adv. Funct. Mater. 2010, 20 (8), 1251−1257. (78) Kang, H. M.; Detcheverry, F.; Stuen, K. O.; Craig, G. S. W.; de Pablo, J. J.; Gopalan, P.; Nealey, P. F. J. Vac. Sci. Technol., B 2010, 28 (6), C6B24−C6B29. (79) Tang, Q. Y.; Ma, Y. Q. Soft Matter 2010, 6 (18), 4460−4465.

1594

dx.doi.org/10.1021/ma2025336 | Macromolecules 2012, 45, 1587−1594