New Insight into the Mechanism of Sequential ... - ACS Publications

Oct 14, 2014 - *(J.W.E.) E-mail: [email protected]., *(S.B.D.) E-mail: [email protected]. ... Lee , Jeffrey W. Elam , Seth B. Darling , and Elena V. Shevche...
0 downloads 0 Views 6MB Size
Article pubs.acs.org/cm

New Insight into the Mechanism of Sequential Infiltration Synthesis from Infrared Spectroscopy Mahua Biswas,† Joseph A. Libera,† Seth B. Darling,*,‡,§ and Jeffrey W. Elam*,† †

Energy Systems Division and ‡Center for Nanoscale Materials, Argonne National Laboratory, 9700 South Cass Avenue, Argonne, Illinois 60439, United States § Institute for Molecular Engineering, University of Chicago, Chicago, Illinois 60637, United States

ABSTRACT: Sequential infiltration synthesis (SIS) has been recently demonstrated to increase the etch resistance of optical, ebeam, and block copolymer lithography resists for sub-50 nm pattern transfer. Although SIS can dramatically enhance pattern transfer relevant to device applications, the complex processes involved in SIS are not clearly understood. Fundamental knowledge of the chemistry underlying SIS is necessary to ensure a high degree of perfection in large-scale lithography. To this end, we performed in situ Fourier transform infrared (FTIR) spectroscopic measurements during the SIS of Al2O3 using trimethylaluminum (TMA) and H2O into poly(methyl methacrylate) (PMMA). The FTIR results revealed that TMA reacts quickly with PMMA to form an unstable complex. The subsequent conversion of this intermediate complex into stable AlO linkages is slow and must compete with rapid TMA desorption. We support this interpretation of the FTIR data using density functional theory to calculate plausible structures for the unstable TMA−PMMA complex and the covalently linked species. As a consequence of this two-step reaction between TMA and PMMA, the detailed history of the TMA exposure becomes critical to achieving reliable patterns in SIS lithography. We demonstrate this using scanning electron microscopy to image the patterns resulting from SIS treatment of block copolymer films under different TMA exposure conditions. This better understanding of the SIS reaction dynamics should improve reliability in SIS lithography as well as other SIS applications.



using Al2O3 SIS-modified PMMA.6 The preferential reaction of the trimethylaluminum (TMA) precursor used for Al2O3 SIS with specific functional groups within polymers has facilitated the site-selective infiltration of inorganic oxides within diblock copolymers to synthesize inorganic nanopatterns of desired shape, size, and composition as well as enhancing the applicability of BCP films for advanced lithography.7−11 While SIS has been shown to improve resist hardness and has opened new avenues for nanomaterials synthesis, the fundamental interaction responsible for SIS, namely, the interaction between the SIS metal precursor and functional groups on the polymer chain, is not firmly understood. The presumption in the field to date has been that this interaction involves a direct, single-step chemical reaction to form a

INTRODUCTION

Sequential infiltration synthesis (SIS), derived from atomic layer deposition (ALD), involves gas phase molecular assembly reactions to infiltrate polymers with inorganic materials. This technique has been utilized for the purpose of hardening various polymeric lithography resists toward subsequent etching and for block copolymer (BCP)-assisted inorganic nanostructure templating.1−4 For example, poly(methyl methacrylate) (PMMA) and ZEP520A resists used for electronbeam (e-beam) lithography showed increased etch resistance by factors of 37 and 5, respectively, following Al2O3 SIS.4 The enhanced etch resistance eliminates the necessity for (i) thicker resist films (thereby preventing pattern collapse during the development and drying processes), and/or (ii) the addition of hard mask deposition, etching, and removal thereby preserving the line-edge roughness (LER) for sub-50 nm lithographically defined pattern transfer.5 Sub-20 nm dense lines etched into silicon with greater than 6:1 aspect ratio have been reported © 2014 American Chemical Society

Received: July 3, 2014 Revised: October 2, 2014 Published: October 14, 2014 6135

dx.doi.org/10.1021/cm502427q | Chem. Mater. 2014, 26, 6135−6141

Chemistry of Materials

Article

covalent bond between the species, though no firm data supporting this picture have been reported. This knowledge gap is likely to hamper the scale-up and commercialization of SIS for lithography and device manufacturing. Moreover, it is the precursor−functional group interaction that will dictate the success of future attempts to extend SIS to new combinations of inorganic and polymeric materials. Clearly, a detailed investigation of the SIS chemistry is needed. To illustrate the current level of understanding of the SIS precursor−functional group interaction, consider the case of Al2O3 SIS in PMMA using alternating exposures to TMA and H2O. Initially, it was predicted that the absence of hydroxyl (OH) functional groups within hydrocarbon polymers would prevent the nucleation and growth of ALD Al2O3 on polymers.12 Later, Al2O3 ALD was found to proceed on a variety of polymer surfaces, and it was hypothesized that the porous polymer could trap, or physisorb, one of the precursors resulting in the simultaneous coexistence of both the TMA and H2O on the surface, and the subsequent reaction would provide nucleation sites (e.g., OH groups) for the ALD to proceed during the following reaction cycles. Later work identified a chemical component to the precursor−polymer interaction, and it was reported that the infiltration of organometallic precursors within polymers occurs due to the presence of polar reactive functional groups, such as carbonyls and esters.1,4,7,9,13,14 Fourier transform infrared (FTIR) spectroscopic measurements demonstrated that TMA interacts with carbonyl and ester sites of the PMMA.13,15 The assumption in these previous studies is that the TMA−PMMA interaction is a direct, irreversible chemisorption, but this interpretation contradicts literature reports of organoaluminum interacting with esters where a reversible complex is formed, but the irreversible reaction is extremely slow.16,29 The true nature of the interaction has not been explored in detail, and there is little understanding of the nucleation and growth of SIS materials within polymers. To better understand the nature and extent of the interaction of the polymer resist layer and precursors during SIS, we performed in situ FTIR measurements of Al2O3 SIS within PMMA thin films under a range of conditions. In situ FTIR is a powerful technique and provides the opportunity to study, in detail, interactions between chemical species by measuring the absorption peaks corresponding to distinct vibrational frequencies of the precursor, the polymer, and any potential complex or reaction product between them.13,15 FTIR spectra were acquired before SIS and during the purge periods between each reactant exposure to identify the functional groups involved in the interaction and to elucidate the timedependence of this interaction. Contrary to previous reports, we found that the initial interaction between carbonyl groups and organometallic precursors is unstable and reversible to a large extent, indicating the critical role of purge times during SIS. We hypothesize that TMA and PMMA interact initially to form a weakly bound complex and present two plausible structures for this complex obtained from density functional theory (DFT) calculations. The subsequent conversion of this intermediate complex to form stable AlO linkages is slow and must compete with rapid TMA desorption. On the basis of this new understanding, we performed Al2O3 SIS using different TMA exposure times within polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) BCP films and examined the resulting surface nanopatterns using scanning electron

microscopy (SEM) after removing the polymer component by oxygen plasma.



EXPERIMENTAL SECTION

PMMA films of ∼60 nm thickness were used as model resist layers for the in situ FTIR study. The sample substrates consisted of IRtransparent Si coupons with the native SiO2 intact, which were cleaned in isopropyl alcohol (IPA) and dried prior to applying the films. The PMMA films were prepared by spin-casting using a 2 wt % solution of 950 K molecular weight PMMA in anisole (Micro Chem). After deposition, the PMMA films were annealed at 180 °C for 180 s on a hot plate to evaporate the solvent. In situ FTIR measurements were performed using a Nicolet 6700 FTIR spectrometer (Thermo Scientific) interfaced to a custom ALD reactor that has been described previously.17,18 FTIR measurements were conducted in transmission mode. Before loading the PMMA-coated Si substrate into the ALD reactor, a transmission spectrum was recorded from an identical, bare Si substrate for use as the background. The PMMA-coated substrates were allowed to equilibrate in flowing, ultrahigh-purity (UHP, 99.999%) N2 using a 300 sccm flow rate at 3.5 Torr pressure within the heated reactor for 30 min before commencing the SIS experiments. In this study Al2O3 SIS was performed using alternating exposures to TMA (Aldrich, 97%) and deionized H2O. The SIS was performed in flow mode, whereby the precursor vapors were introduced into a steady, 300 sccm flow of N2 carrier gas that swept through the ALD reactor. The base pressure of N2 without precursors was 3.5 Torr. Using a predetermined exposure period, 6 Torr TMA was admitted into the reactor after which the TMA dosing valve was closed, and then pure N2 gas flowed through the reactor at 3.5 Torr for a predetermined purge period. A similar exposure/purge process was used for the H2O to complete the SIS cycle. For most of the experiments in this study, 180 s dose times were used for both precursors. This dose time was chosen to allow sufficient time for the precursors to infiltrate the PMMA film since virtually no further changes in the FTIR spectra were observed using longer dose times for the ∼60 nm films. The purge times were divided into two segments. For the first 35 s, the FTIR gate valves were closed to avoid any growth on the KBr windows and to ensure complete purging of gaseous TMA from the chamber. After the first 35 s, the FTIR gate valves were opened to facilitate FTIR data collection. Each FTIR spectrum represents the average of 256 scans recorded at a resolution of 4 cm−1 over 320 s. The SIS substrate temperature was maintained at 85 °C using a temperature-controlled stage. The reactor walls were maintained at approximately the same temperature as the substrate to prevent precursor condensation during SIS. To evaluate the impact of the TMA dose time on SIS lithography, PS-b-PMMA BCP thin films were prepared on Si substrates. PS-bPMMA (Mw = 21000/21000) was purchased from Polymer Source, Inc. The BCP films were prepared by spin coating from a toluene solution (13 mg/mL) onto cleaned silicon substrates with native SiO2 and polystyrene-random-poly(methyl methacrylate) (PS-r-PMMA) brush layer (total Mw = 13469 with mass ratio of PS:PMMA = 59:41). The Ps-r-PMMA brush layer causes the PS-b-PMMA lamellae to orient perpendicularly.19,20 After deposition, the films were annealed at 180 °C for 20 h in a tube furnace under a flowing Ar atmosphere, and then cooled down to room temperature in order to obtain self-assembled 30 nm pitch lamellae patterns. One cycle of Al2O3 SIS was performed on these samples using two different TMA dose times of 180 and 7200 s, with purge times of 300 s and H2O dose/purge times of 180 s/300 s. After removing the polymer using an oxygen plasma (performed at 50 W for 5 min in a March CS-1701 plasma etcher), the samples were inspected using field emission scanning electron microscopy (FESEM, JEOL 7500).



RESULTS AND DISCUSSION Figure 1, spectrum 1, shows the PMMA FTIR spectrum taken before any SIS treatment and is referenced to a clean silicon substrate. Spectra 2 and 3 are FTIR difference spectra taken 6136

dx.doi.org/10.1021/cm502427q | Chem. Mater. 2014, 26, 6135−6141

Chemistry of Materials

Article

negative features at 1729 and 1260 cm−1, suggesting the consumption of carbonyl and ester groups, respectively, and positive features consistent with adsorbed TMA:CH stretching of AlCH3 at 2923 cm−1 and CH rocking of AlCH3 at ∼700 cm−1.12,13,18 In addition, new positive features are seen at 1670 and 1297 cm−1. Previously, the 1670 cm−1 peak was assigned to the OCO asymmetric stretch of aluminum carbonate that was assumed to form during the chemisorption of TMA onto surface carbonyls, while the 1297 cm−1 peak was not assigned.13 We believe this interpretation to be incorrect and that the apparent consumption of the 1729 cm−1 feature and appearance of the 1670 cm−1 peak result from a red shift of the 1729 cm−1 CO stretch upon TMA physisorption. Similarly, the changes at 1260 and 1297 cm−1 reflect a blue shift of the COR feature from TMA physisorption. In a previous study of the interaction between electron donors and esters, CO red shifting was ascribed to a weakening of the CO bond, and the concurrent blue shift in the COR frequency was attributed to a resonance effect between CO and COR.25 Our assertion that TMA physisorbs to the PMMA rather than forming a permanent, covalent bond will be supported below when we describe the spectral changes observed during the TMA purge period. Finally, spectrum 3 in Figure 1 shows that the H2O exposure removes all of the CH peaks associated with the TMA methyl groups, while simultaneously reversing the peak shifts for the CO and COR peaks produced by the TMA. The complete TMA-H2O SIS cycle should generate an increase in the AlO phonon mode at ∼800 cm−1 due to Al2O3 formation,26 but this feature is not visible in spectrum 3 due to interference from the nearby AlCH3 loss feature. However, this AlO mode becomes visible if the post-H2O dose spectrum is referenced to PMMA, as we shall see below in Figure 5. Figure 2 shows the temporal evolution of the FTIR spectra during the TMA purge step. For this study, FTIR spectra were acquired periodically for 60 min following the TMA exposure.

Figure 1. FTIR spectra of PMMA and SIS treated PMMA at 85 °C. (1) PMMA film on Si referenced to bare Si; (2) spectrum taken 35 s after initial TMA dose referenced to the PMMA spectrum; (3) spectrum taken 35 s after subsequent H2O dose referenced to the TMA spectrum.

after sequential exposures to TMA and H2O, respectively. The TMA spectrum 2 is referenced to the PMMA spectrum 1 to highlight the spectral changes that occur upon TMA exposure. Similarly, the H2O spectrum 3 is referenced to the TMA spectrum 2. The data acquisition for spectra 2 and 3 commenced 35 s after terminating the precursor dose and required 320 s to complete as described above. Spectrum 1 shows the vibrational features expected for PMMA:carbonyl (CO) stretching at 1729 cm−1, ester (C OR) stretching at 1260 and 1145 cm−1, symmetric CH stretching of CH3 at 2954 cm−1, CH stretching of CH2 at 2994 cm−1, and CH bending of CH3 and CH2 at 1440 and 1480 cm−1.21−24 Following the TMA exposure, spectrum 2 shows

Figure 2. FTIR spectrum of (1) PMMA film on Si, (2) spectrum taken at 35 s after first TMA dose referenced to the PMMA spectrum, and (3−8) spectra measured versus TMA purge time from 10 to 60 min at 10 min intervals referenced to the PMMA spectrum. All measurements were performed at 85 °C. 6137

dx.doi.org/10.1021/cm502427q | Chem. Mater. 2014, 26, 6135−6141

Chemistry of Materials

Article

The first spectrum was measured at 35 s, and subsequent spectra were recorded at 10 min intervals. The bare PMMA spectrum (Spectrum 1 in Figure 2) was subtracted from each of the other spectra in Figure 2 that followed the TMA exposure. It is clear from spectra 2−8 that the changes induced in the CO and COR features by the TMA exposure are at least partially reversed with time. In addition, the features associated with the TMA adsorption (AlCH3 stretching and rocking) are also greatly reduced with longer purge times. Curiously, a broad absorbance feature appears at ∼800 cm−1 from the AlO phonon mode of Al2O3, which is expected to appear only after a H2O exposure. This broad feature compares well with the AlO feature we usually observe after multiple Al2O3 ALD and SIS cycles in our ALD chamber. One possible explanation for this peak is that residual H2O in our chamber reacts with the surface-bound TMA. However, H2O reaction should generate OH species that appear as increased intensity in the ∼3000−3500 cm−1 region (for instance, see spectrum 3 in Figure 1). However, no such increase can be seen in Figure 2 coincident with the growth in the AlO phonon mode. We hypothesize that the temporal evolution of the CO and COR features in Figure 2 result from the slow desorption of physisorbed TMA from a weakly bound complex that we designate: CO···Al(CH3)3. If our interpretation is correct, then there should be a 1:1 correspondence between the changes in the CO and red-shifted CO features in Figure 2. However, the integrated absorbance of the CO peak is only ∼half that of the red-shifted CO, measured from spectrum 2. This apparent discrepancy might result from different oscillator strengths for these two species, and at the end of 60 min the negative CO peak is reduced by ∼75%, while the red-shifted CO peak has disappeared into the noise. Another positive feature becomes visible at ∼1706 cm−1 from spectrum 5 onward, which might also result from redshifted CO if there are different bonding sites for the TMA. This peak persists even after 60 min of purge time, and in spectrum 8 the integrated absorbance value for this peak is almost double that of the negative feature at 1729 cm−1 corresponding to the remaining weakly bound complex. Similarly, our model for the TMA desorption predicts that the decay rates for the AlCH3 stretching feature and the Al CH3 rocking mode should be identical since both peaks result from adsorbed TMA. Although both features decrease with purge time, it was difficult to quantify these changes due to the low intensity of the stretching feature and appearance of the broad AlO feature beside the rocking mode. The appearance of the AlO feature with longer purge times suggests that along with the unstable CO···Al(CH3)3 complex that forms immediately upon TMA exposure there exists a second, stable complex (OAl(CH3)2) that forms slowly over time. Evidently, the formation of OAl(CH3)2 is irreversible and leads to AlO bonding similar to Al2O3 without the need for any H2O exposure. Moreover, the correlation between the decrease in CO···Al(CH3)3 features with the simultaneous increase in AlO modes suggests that this is a sequential process. Further evidence for this model is presented below. We had assumed that the 180 s TMA dose time was sufficient to complete the diffusion and reaction of the TMA in the PMMA based on earlier measurements showing that the CO/COR peaks and their shifted counterparts no longer changed with time after the 180 s TMA exposure. However, our measurements in Figure 2 indicate that the TMA reaction might occur in two steps, and this motivated us to

reexamine the effect of the TMA exposure time. Figure 3 shows the effect of TMA exposure time (180 s, 30 min, and 2 h) on

Figure 3. FTIR spectrum of PMMA (black) and difference spectra after 180 s (blue), 30 min (green), and 2 h (magenta) of TMA exposure times. The 30 min and 2 h TMA exposures were performed statically with zero flow in the ALD chamber. The AlO peak at ∼800 cm−1 is clearly visible in the difference spectrum after the 30 min TMA exposure and as a shoulder near the AlCH3 peak (∼700 cm−1) after 2 h TMA exposure.

the AlO formation and its effect on the CO peak. The FTIR spectra were collected after a 210 s purge time to ensure complete purging of gaseous TMA following these long exposures (30 min and 2 h). Compared to the 180 s TMA exposure time (blue), the 30 min exposure (green) shows a pronounced AlO feature, implying that a larger fraction of the physisorbed TMA has formed a permanent, covalent bond. However, there should be a simultaneous decrease in the CO feature since carbonyls are consumed during AlO formation, but this change is too small to observe after 30 min of TMA exposure. In contrast, after 2 h of TMA exposure (magenta) the negative CO feature indicates complete consumption of the carbonyls and the AlO feature has grown even larger, although this change is partially obscured by the intense Al CH3 rocking peak. Moreover, the 1670 cm−1 feature from physisorbed TMA has decreased relative to the negative CO feature. This asymmetry results from the large fraction of carbonyls that have irreversibly converted to OAl(CH3)2. These observations support a two-step model in which the chemisorbed OAl(CH3)2 species forms slowly through an intermediate CO···Al(CH3)3 complex, and only when this complex remains populated (by continuous TMA exposure) will the OAl(CH3)2 appear. To summarize the FTIR studies described thus far, we propose the following two-step reaction between TMA and PMMA: CO + Al(CH3)3 ↔ CO···Al(CH3)3

(1)

CO···Al(CH3)3 → OAl(CH3)2

(2)

In step (1), TMA reacts with the CO groups of the PMMA to form a physisorbed complex. This reaction is fast, and an equilibrium concentration of the physisorbed complex is rapidly achieved in under 180 s. In step (2), the physisorbed TMA 6138

dx.doi.org/10.1021/cm502427q | Chem. Mater. 2014, 26, 6135−6141

Chemistry of Materials

Article

Figure 4. DFT calculated candidate structures for PMMA−TMA species. Lowest-energy configuration for two physisorbed structures (O··· Al(CH3)3) where AlCH3 group is interacting with (a) CO and (b) COR groups of PMMA, respectively. (c) Geometrically optimized covalent structure (OAl(CH3)2), in which one of the TMA CH3 groups has been shifted to the C that was formerly part of the CO group. Key: O, red; H, white; C, light gray; Al, pink; covalent bonds, dark gray.

reacts to form a permanent OAl covalent linkage. This reaction is slow and takes ∼1 h to complete but will proceed so long as a high concentration of the physisorbed complex is maintained. Consequently, longer TMA exposures generate more OAl(CH3)2. A similar mechanism has been proposed for the reaction between TMA and the PMMA carbonyl groups, but in this previous report the existence and significance of the intermediate species was not noted.15 To evaluate potential structures for the weakly bound C O···Al(CH3)3 complex and the covalently bound O Al(CH3)2, we performed density functional theory (DFT) calculations at the B3LYP/6-31G(d,p) level using Gaussian 09 software.27 TMA and a monomer of PMMA were geometrically optimized from a variety of starting structural arrangements, and energetically stable complexes were identified for several of these geometries. Figure 4a,b shows the lowest-energy configuration for two such structures in which the AlCH3 group interacts with the CO and COR groups of PMMA, respectively. A covalent structure, in which one of the methyl groups of the TMA is removed and a methyl group is added to the carbon from the CO,29 was also geometrically optimized and is presented in Figure 4c. The fact that stable structures are predicted by DFT for the intermediate complexes supports our model in eqs 1 and 2 above. However, additional calculations would be required to refine these structures, evaluate the relative stability of the CO and COR complexes, calculate vibrational frequencies for comparison to the FTIR data, and obtain activation energies for the interconversion between species. Figure 3 demonstrates that longer TMA exposure times incorporate more AlO into the PMMA film. In addition to the TMA exposure time, our model predicts that the TMA purge time should also greatly influence the outcome of the complete SIS cycle. Since the intermediate CO···Al(CH3)3 slowly releases TMA back into the gas phase, more TMA should become “frozen” in the film if the H2O is dosed sooner. Figure 5 shows two FTIR spectra, each recorded after one complete SIS cycle with the only difference being the TMA purge time: 20 s for spectrum 2 and 355 s for spectrum 3. Spectrum 1 shows the bare PMMA film for reference. It is obvious that the AlO mode is larger in spectrum 2, indicating that shorter TMA purge times do indeed produce more AlO in the film. In addition, by comparing the CO loss peaks at 1729 cm−1 for both spectra, we see that more CO is consumed using the shorter TMA purge times. This behavior is expected, since the carbonyls become converted into AlO.

Figure 5. FTIR spectrum of PMMA (spectrum 1) and difference spectra after one complete SIS cycle of TMA and H2O varying only the TMA purge time, 20 s (spectrum 2) and 355 s (spectrum 3). Shorter TMA purge times lead to a larger AlO peak ∼800 cm−1 and a greater reduction in the CO peak at 1729 cm−1.

If longer TMA exposures produce higher concentrations of chemisorbed TMA, then SIS performed under these conditions should lead to a denser, more robust deposit. To verify this hypothesis, experiments were performed using lamellar PS-bPMMA block copolymer (BCP) thin films on Si substrates. TMA interacts exclusively with the PMMA domains in PS-bPMMA due to the lack of functional groups (e.g., CO and COR) in the PS. Two different TMA exposure times of 180 and 7200 s were used, and the purge time was set at 300 s for both experiments. The water dose/purge time was 180 s/ 300 s for both samples. Following the SIS treatments, the polymeric portion of the films was removed using an O2 plasma treatment, and the samples were inspected using FESEM. As anticipated, Figure 6 reveals that the longer TMA exposure time produced a denser Al2O3 deposit as evidenced by the larger, more continuous lamella in Figure 6b as compared to Figure 6a. This observation is in agreement with the more pronounced AlO mode seen by FTIR using larger TMA exposures (Figure 3, green and magenta spectra). Our principal findings in this study are consistent with the organometallic literature. TMA is known to be relatively unreactive toward esters, even at temperatures above 100 °C over many hours.16,29 Moreover, organoaluminum compounds 6139

dx.doi.org/10.1021/cm502427q | Chem. Mater. 2014, 26, 6135−6141

Chemistry of Materials

Article

Figure 6. FESEM images of 30 nm pitch Ps-b-PMMA block copolymer lamellae treated with one cycle SIS and etched by oxygen plasma. TMA was exposed for (a) 180 s and (b) 7200 s with 300 s purge time in both cases. With longer TMA exposure times, the amount of Al2O3 deposited increases, in agreement with Figure 3

temperature to extract rate constants and examining different PMMA film thicknesses to separate the TMA diffusion and reaction processes. Furthermore, studying the interactions of other organometallic precursors with PMMA, and also with different polymers, will broaden our understanding of SIS. Collectively, these new insights will play a crucial role in process design for SIS in lithography and other applications.

interact with electron donors, such as esters, ketones, ethers, and nitriles, to form acceptor−donor complexes at the first stage of their interaction.28,29 Although the interaction of organometallics with the functional groups in polymers is not well studied, our FTIR measurements suggest that this interaction is similar to those found in the earlier studies using compounds. In particular, TMA forms a weakly bound intermediate (CO···Al(CH3)3) in which the electronegative CO donates electrons to the electropositive Al. This physisorbed complex then reacts slowly to form a permanent AlO linkage. Additional evidence for this weakly bound TMA−PMMA complex can be found in the quartz crystal microbalance data for Al2O3 ALD on PMMA reported by George et al.14 These measurements revealed a slow mass loss during the TMA purge time analogous to our FTIR measurements. Apart from the complex formation between TMA and oxygen donor groups, TMA may become physically trapped within the PMMA pores, and this could explain the intense rocking mode feature seen for the AlCH3 group. In fact, such a trapping mechanism may explain why the covalent OAl(CH3)2 eventually forms between TMA and PMMA, whereas there is no reaction whatsoever between TMA and small esters in solution;16 in the latter case, there is no such trapping.



AUTHOR INFORMATION

Corresponding Authors

*(J.W.E.) E-mail: [email protected]. *(S.B.D.) E-mail: [email protected]. Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS Use of the Center for Nanoscale Materials was supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC0206CH11357. The authors want to thank Dr. Wei Chen from Materials Science Division, Argonne National Laboratory, for providing PS-r-PMMA polymer. The authors gratefully acknowledge support from a Technology Maturation Grant through the Technology Development and Commercialization Division at Argonne.





CONCLUSIONS In conclusion, we investigated the interaction between TMA and PMMA films using in situ FTIR to shed new light on the SIS reaction mechanism. We discovered that the TMA purge time is crucial for Al2O3 formation within PMMA. Longer TMA purge times reduce the SIS growth due to the unstable nature of the PMMA−TMA complex, which in turn limits the amount of Al2O3 formed after the H2O exposure. This same mechanism likely applies to other CO/ester group-rich polymers. Indeed, this kinetic perspective represents a novel insight that might impact SIS more generally. At the same time, longer TMA exposures enhance Al2O3 formation within the polymer since a high concentration of the intermediate complex is maintained, which permits the slow reaction to the chemisorbed species to proceed further to completion. Clearly more experiments are required to better understand Al2O3 SIS within PMMA, such as varying the deposition

REFERENCES

(1) Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. Adv. Mater. 2010, 22 (45), 5129−5133. (2) Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. ACS Nano 2011, 5 (6), 4600−4606. (3) Tseng, Y.-C.; Darling, S. B. Polymers 2010, 2 (4), 470−489. (4) Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Czaplewski, D. A.; Elam, J. W.; Darling, S. B. J. Mater. Chem. 2011, 21 (32), 11722−11725. (5) Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Czaplewski, D. A.; Elam, J. W.; Darling, S. B. J. Vac. Sci. Technol. B 2011, 29 (6), 06FG01. (6) Tseng, Y.-C.; Mane, A. U.; Elam, J. W.; Darling, S. B. Adv. Mater. 2012, 24 (19), 2608−2613. (7) Kamcev, J.; Germack, D. S.; Nykypanchuk, D.; Grubbs, R. B.; Nam, C.-Y.; Black, C. T. ACS Nano 2012, 7 (1), 339−346. (8) Ruiz, R.; Wan, L.; Lille, J.; Patel, K. C.; Dobisz, E.; Johnston, D. E.; Kisslinger, K.; Black, C. T. J. Vac. Sci. Technol. B 2012, 30 (6), 06F202. 6140

dx.doi.org/10.1021/cm502427q | Chem. Mater. 2014, 26, 6135−6141

Chemistry of Materials

Article

(9) Yin, J.; Xu, Q.; Wang, Z.; Yao, X.; Wang, Y. J. Mater. Chem. C 2013, 1 (5), 1029−1036. (10) Johnston, D. E.; Lu, M.; Black, C. T. Proc. SPIE 2012, 8328, 83280A-8. (11) Suresh, V.; Huang, M. S.; Srinivasan, M. P.; Krishnamoorthy, S. ACS Appl. Mater. Interfaces 2013, 5 (12), 5727−5732. (12) Ferguson, J. D.; Weimer, A. W.; George, S. M. Chem. Mater. 2004, 16 (26), 5602−5609. (13) Gong, B.; Parsons, G. N. J. Mater. Chem. 2012, 22 (31), 15672− 15682. (14) Wilson, C. A.; Grubbs, R. K.; George, S. M. Chem. Mater. 2005, 17 (23), 5625−5634. (15) Parsons, G. N.; Atanasov, S. E.; Dandley, E. C.; Devine, C. K.; Gong, B.; Jur, J. S.; Lee, K.; Oldham, C. J.; Peng, Q.; Spagnola, J. C.; Williams, P. S. Coord. Chem. Rev. 2013, 257 (23−24), 3323−3331. (16) Chung, E.-A.; Cho, C.-W.; Ahn, K. H. J. Org. Chem. 1998, 63 (22), 7590−7591. (17) Comstock, D. J.; Elam, J. W. J. Phys. Chem. C 2012, 117 (4), 1677−1683. (18) Goldstein, D. N.; McCormick, J. A.; George, S. M. J. Phys. Chem. C 2008, 112 (49), 19530−19539. (19) Thurn-Albrecht, T.; Steiner, R.; DeRouchey, J.; Stafford, C. M.; Huang, E.; Bal, M.; Tuominen, M.; Hawker, C. J.; Russell, T. P. Adv. Mater. 2000, 12 (11), 787−791. (20) Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. Science 1997, 275 (5305), 1458−1460. (21) Brinkhuis, R. H. G.; Schouten, A. J. Macromolecules 1991, 24 (7), 1496−1504. (22) Namouchi, F.; Smaoui, H.; Fourati, N.; Zerrouki, C.; Guermazi, H.; Bonnet, J. J. J. Alloys Compd. 2009, 469 (1−2), 197−202. (23) Stuart, B. H. Infrared Spectroscopy: Fundamentals and Applications, 1st ed.; John Wiley & Sons, Ltd.: Chichester, 2004. (24) Choi, H. W.; Woo, H. J.; Hong, W.; Kim, J. K.; Lee, S. K.; Eum, C. H. Appl. Surf. Sci. 2001, 169−170, 433−437. (25) Solli, K.-A.; Ystenes, M.; Sobota, P. SPIE Proc. 1991, 1575, 608. (26) Frederick, B. G.; Apai, G.; Rhodin, T. N. Phys. Rev. B 1991, 44 (4), 1880−1890. (27) Frisch, M. J.; Trucks, G. W.; Schlegel, H. B.; Scuseria, G. E.; Robb, M. A.; Cheeseman, J. R.; Scalmani, G.; Barone, V.; Mennucci, B.; Petersson, G. A.; Nakatsuji, H.; Caricato, M.; Li, X.; Hratchian, H. P.; Izmaylov, A. F.; Bloino, J.; Zheng, G.; Sonnenberg, J. L.; Hada, M.; Ehara, M.; Toyota, K.; Fukuda, R.; Hasegawa, J.; Ishida, M.; Nakajima, T.; Honda, Y.; Kitao, O.; Nakai, H.; Vreven, T.; Montgomery, J. A.Jr.; Peralta, J. E.; Ogliaro, F.; Bearpark, M.; Heyd, J. J.; Brothers, E.; Kudin, K. N.; Staroverov, V. N.; Kobayashi, R.; Normand, J.; Raghavachari, K.; Rendell, A.; Burant, J. C.; Iyengar, S. S.; Tomasi, J.; Cossi, M.; Rega, N.; Millam, N. J.; Klene, M.; Knox, J. E.; Cross, J. B.; Bakken, V.; Adamo, C.; Jaramillo, J.; Gomperts, R.; Stratmann, R. E.; Yazyev, O.; Austin, A. J.; Cammi, R.; Pomelli, C.; Ochterski, J. W.; Martin, R. L.; Morokuma, K.; Zakrzewski, V. G.; Voth, G. A.; Salvador, P.; Dannenberg, J. J.; Dapprich, S.; Daniels, A. D.; Farkas, Ö .; Foresman, J. B.; Ortiz, J. V.; Cioslowski, J.; Fox, D. J. Gaussian 09, Revision A.02; Gaussian, Inc.: Wallingford, CT, 2009. (28) Takashi, Y. Bull. Chem. Soc. Jpn. 1967, 40, 612. (29) Pasynkiewicz, S. Pure Appl. Chem. 1972, 30 (3−4), 509−522.

6141

dx.doi.org/10.1021/cm502427q | Chem. Mater. 2014, 26, 6135−6141