Patterning of Solid Films via Selective Atomic ... - ACS Publications

Jul 25, 2016 - T = 385 K for 24 h, whereas the silylation with ODTS was performed using a 10 mM .... L.G.: Applied Materials, Santa Clara, CA 95054. N...
0 downloads 0 Views 4MB Size
Research Article www.acsami.org

Patterning of Solid Films via Selective Atomic Layer Deposition Based on Silylation and UV/Ozonolysis Lei Guo, Ilkeun Lee, and Francisco Zaera* Department of Chemistry, University of California, Riverside, California 92521, United States ABSTRACT: A simple methodology was successfully demonstrated for the nanoscale patterning of silicon wafers. Thin films are grown by atomic layer deposition (ALD) and patterned by using selective surface chemistry: First, all the nucleation sites on the original oxide surface are silylated in order to render them unreactive; then, a pattern is developed by selective removal of the silylation agent using a mask and a combination of ultraviolet radiation and ozonolysis. Subsequent ALD is carried out selectively on the areas where the silylation moieties have been removed. This simple procedure affords patterning of oxide surfaces with monolayer control and a lateral resolution on the order of a few tens of nanometers or better. Other selective ALD processes have shown only limited discrimination during deposition, but our method shows absolute inhibition of film growth on the silylated areas while films as thick as 10 nm are grown on the reexposed sectors. Our example involved the deposition of hafnium oxide films on the native silicon oxide film that forms on Si(100) wafers, but we believe that the approach is general and easily extendable to other ALD processes. KEYWORDS: area-selective ALD, silylation, UV/ozonolysis, surface chemistry, XPS

1. INTRODUCTION

Indeed, ALD depends strongly on the chemistry of the underlying surface, and can therefore be limited to a part of the substrate by locally modifying the surface properties with spatial resolution.14,16,17 On oxide surfaces, many ALD processes are initiated at hydroxo (OH) surface sites,18−22 which means that blocking of such sites with other surface groups should passivate the substrate toward chemical film growth. The choice of blocking agent is critical, however, because if only small differences in nucleation reactivity are attained, then growth may remain selective for only a few cycles, resulting in only a thin pattern being fabricated. The majority of approaches for achieving area-selective ALD reported in the literature rely on the deactivation of the surface by self-assembled monolayers (SAMs), in many cases deposited on either silicon or metal substrates;14,23−28 area-selective ALD is achieved by covering the surface with a SAM following the desired pattern, after which the film grows selectively on those areas that are not covered with the SAM molecules. In this approach, patterning is usually done ahead of time, by microcontact printing, electron beam lithography, or photolithography, and the SAMs are added afterward, selectively in the areas to be blocked against ALD.29−34 Here we offer an alternative approach where patterning is introduced afterward by using a combination of photolithography and ozonolysis. Our procedure, shown schemati-

The fabrication of microelectronics has evolved to require the buildup of complex structures with rough topologies within small areas of solid substrates on the order of a few nanometers. Several bottom-up processes have been developed for this purpose,1 including conventional methods that rely on the patterning of a resist (often using photolithography2,3 but in some cases employing electron beam lithography4−7 or imprinting)8−10 followed by etching. However, such processes usually require many deposition and etching steps and are therefore time-consuming and expensive. It may be desirable to directly deposit films in an additive way instead. Atomic layer deposition (ALD), a methodology in which thin solid films are grown chemically by using two or more complementary and self-limiting surface reactions, is a good choice for these applications because it offers exquisite control on the thickness, conformality, and uniformity of the deposited film at the monolayer level.11−13 ALD can be used to enable the conventional methods mentioned above by, for instance, modifying the properties of the photoresist in photolithographic procedures, but nanopatterning may also be employed directly to control ALD film growth.14,15 This patterning can be based on lithography and lift-off, where ALD is done after the patterning of a resist, or alternatively accomplished directly by chemical activation or deactivation of specific areas within the surface of the substrate. When possible, the latter processes are preferred because they are simpler and require a lower number of steps. © XXXX American Chemical Society

Received: June 14, 2016 Accepted: July 15, 2016

A

DOI: 10.1021/acsami.6b07192 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Figure 1. Scanning electron microscopy (SEM, upper left panel) and hafnium (upper right), silicon (lower left), and oxygen (lower right) energy dispersive X-ray microanalysis (EDX) images of a Si(100) substrate patterned with a 10 nm thick HfO2 film grown by ALD using the silylation−UV/ ozonolysis procedure discussed in this report. The scaling bars in all panels correspond to 500 μm; the squares are 155 μm long on each side. Spectrolinker XL-1500 UV instrument equipped with both its original λ (wavelength) = 254 nm lamp and an additional λ = 185 nm radiation source. The surface patterning was done by using photomasks made out of a thin Cr film on top of a 2 mm thick fused silica slide (transmittance > 93% between λ = 180 and 190 nm, = 100% above λ = 200 nm), which were placed approximately 0.45 mm above the silicon substrate (with the pattern on the outside surface). The ALD of the HfO2 thin films was carried out by using a commercial Savannah Ultratech/Cambridge Nanotech instrument, using alternating exposures to tetrakis(dimethylamido) hafnium(IV) (TDMAHf, [(CH3)2N]4Hf, Sigma-Aldrich, 99%) and deionized water with dry N2 purging in between, using the following sequence: TDMAHf/N2/ H2O/N2 = 0.25 s/45 s/0.25 s/35 s. The substrate temperature was kept at 385 K, and the estimated deposition rate was approximately 0.05 nm/cycle. The experimental details were reported in a previous publication.35,36 The scanning electron microscopy (SEM) images were acquired with a Nova NanoSEM450 instrument equipped with an in-lens SE/ BSE detector (TLD) and operated at an electron energy of 5 kV. Atomic composition was determined by using an integrated energy dispersive X-ray microanalysis (EDX) system from Oxford Instruments. The X-ray photoelectron spectroscopy (XPS) analysis was performed using a Kratos analytical AXIS instrument equipped with a 165 mm mean radius semihemispherical electron energy analyzer and a monochromatized Al-anode X-ray excitation source. Film thicknesses were estimated by using an homogeneous layer model and by assuming exponential signal decay versus film thickness, using reported electron inelastic mean free paths.40

cally in the graphical abstract, is quite straightforward, involving the sequential silylation of the surface to block all OH nucleation sites followed by the spatially resolved partial removal of those blocking agents using a combined treatment with ozone and ultraviolet (UV) radiation. The details of the surface chemistry and the nature of the resulting surfaces after each step of this protocol have already been reported for deposition on both regular silicon-oxide-covered Si(100) wafers35 and low-k SiCOH substrates.36 Here we report new work aimed at demonstrating the possibility of using such protocol for the development of patterned surfaces with high chemical selectivity and spatial resolution using appropriate masks during the UV treatment. The new advancement reported here is proof that the selective chemistry developed before in our laboratory can be used in a practical sense to pattern silicon surfaces. Several silylation agents were successfully tested, including the HMDS and ODTS agents reported below. Selective deposition was retained for films of up to 10 nm thickness, much past the typical values reported for SAMs-based selective ALD. The lateral resolution was shown to be on the order of a few tens of nanometers at most, in our studies limited by the experimental setup rather by the intrinsic limitations of the chemistry used.

2. EXPERIMENTAL DETAILS The spatially resolved film deposition procedure reported here was studied on ∼1 × 1 cm2 square pieces of Si(100) samples cut from commercial Si(100) wafers (Si-Tech), covered with their native ∼1 nm thick silicon oxide films.37,38 They were cleaned by following a standard RCA protocol.35,39 Silylation of those surfaces was tested by using either hexamethyldisilazane (HMDS, Sigma-Aldrich, 99.9% purity) or trichloro(octadecyl)silane (ODTS, Sigma-Aldrich, ≥ 90%): The treatment with HDMS was done with the neat liquid at T = 385 K for 24 h, whereas the silylation with ODTS was performed using a 10 mM solution in toluene at room temperature for 48 h, both in a dry N2 environment.35 UV/ozonolysis was carried out in a

3. RESULTS AND DISCUSSION Figure 1 shows images of a Hall bar pattern obtained by HfO2 ALD on a Si(100) wafer using a photomask during the UV/ ozonolysis step of our protocol, following the procedure described in the Experimental Details section. The upper-left image corresponds to a scanning electron microscopy (SEM) image of the final pattern developed on the surface after 200 ALD cycles, which corresponds to a film of approximately 10 B

DOI: 10.1021/acsami.6b07192 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces nm in thickness. The composition of the light and dark areas in the pattern was determined by the energy dispersive X-ray microanalysis (EDX) data in Figure 2. It is clear that while the

Figure 3. EDX line scans along the green line in the SEM image of Figure 1, in the direction perpendicular to the thin central line in the Hall bar pattern. Intensities were measured for the Si Kα1 (top, red), Hf Mα1 (middle, purple), and O Kα1 (bottom, blue) signals as a function of distance from the center of that line. A clear contrast is seen between the deposited line, which is made out of a ∼13 nm thick HfO2 film, and the outside area, where the silicon wafer remains naked.

Figure 2. EDX spectra for the two zones marked by squares in the SEM image in the upper left panel of Figure 1. The bottom (blue) trace (zone 1) corresponds to the region where the surface was illuminated with UV radiation during exposure to ozone and where HfO2 ALD films were grown, whereas the top (red) spectrum (zone 2) represents the area passivated by silylation, where HfO2 ALD was blocked.

our mask). A lighter band, approximately 50 nm in width, is seen in between the two phases, which we think may be due to experimental limitations since our mask sits a couple of millimeters above the substrate (farther away than the masks used for the Hall bar patterning). In any case, this measurement provides an upper limit for the lateral resolution possible with our selective ALD protocol of a few tens of nanometers. We believe that this can be easily improved upon by using a better photolithography setup. The spatial distribution and composition of the ALD films were further probed by using X-ray photoelectron spectroscopy (XPS). A direct comparison of the SEM (left panel) and Hf 4f XPS (right) spatial images of a second Hall bar pattern obtained with our protocol is provided in Figure 5. Unfortunately, the XPS image is distorted by a shadow created by an experimental artifact due to faulty elements in the detection array of the XPS instrument. Also, the XPS images are noisier and provide lower spatial resolution. Nevertheless, it is clear that Hf is only present in the regions where the ALD was allowed to proceed because of surface activation by UV/ ozonolysis. XPS can certainly provide a better contrast in terms of atomic composition, which is the reason why the areas outside the Hall bar are totally dark. A more quantitative analysis of the chemical selectivity of the ALD process can be extracted from XPS data acquired at different areas of our substrate. Typical results are reported in Figure 6, in this case for two sets of experiments using HMDS (left panel) and ODTS (right) as the silylation agent. Total discrimination was obtained with both compounds: HfO2 (identified by the large Hf 4f XPS peaks in the top red traces) was clearly deposited in the areas exposed to a combination of UV radiation and ozone, whereas no Hf at all could be seen in the regions covered by the mask during the UV/ozonolysis treatment. Given the high sensitivity of these measurements, we can estimate the HfO2 thickness on the protected surface to be less than 0.02 Å: The film-deposition selectivity attained with our selective ALD is much higher than any reported with alternative processes41,42 and extends to a higher number of

region represented by the spectrum taken in the first zone (blue box in the upper-left panel of Figure 1) contains significant quantities of Hf and O the surface in the second zone (red box) consists of the naked silicon substrate exclusively. Some silicon is still detected in the first zone because EDX is not a surface sensitive technique and is therefore capable of detecting signals from the substrate underneath the newly deposited HfO2 film. Nevertheless, it is clear that the new oxide film was deposited selectively only in the areas exposed to the UV light. The EDX spatial mapping of the main elements (Hf, Si, and O) provided in the remaining three panels of Figure 1 confirms this conclusion. The sharpness of the HfO2 features deposited by the selective ALD process was first evaluated by performing an EDX line scan along the direction indicated in green in the SEM image in Figure 1. The resulting elemental profiles for Si, Hf, and O are shown in Figure 3. Again, clear signals for Hf and O are seen exclusively within the ∼13 μm width of the line probed; only silicon is detected on the outside. The partial covering of the silicon substrate by the HfO2 film is also indicated by the decrease seen in the Si EDX signal intensity in the center part of the line traced in Figure 3. A better estimate of the resolution of the ALD process was obtained from higherresolution SEM images of the HfO2−Si boundary. An example of those results is provided in Figure 4, in this case for a film obtained by using the edge of a homemade Al mold as the mask during the UV/ozonolysis step to define the line in the selective ALD process.35 Two resolutions are provided, with scaling bars of 50 and 1 μm in the top and bottom panels, respectively. It can be seen that even in the higher-resolution image at the bottom of Figure 4 the HfO2−Si boundary is quite sharp, if somewhat rough (which is due to the roughness of the edge of C

DOI: 10.1021/acsami.6b07192 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Figure 6. Hf 4f XPS data for surfaces patterned using the reported ALD procedure. The two panels correspond to experiments using two different silylation agents: HMDS (left panel) and ODTS (right). Four spectra are provided in each case, recorded right before the start of the film growth process (two bottom blue traces) and after 30 ALD cycles (two top red traces) as well as for the sectors of the surface exposed to ozone alone (first and third traces from the bottom, dark colors) versus those exposed to ozone and UV radiation (second and fourth traces, light colors). It is clear that HfO2 is deposited with very high selectivity only on the areas exposed to UV radiation.

Figure 4. SEM images for the edge of a HfO2 film deposited on a Si(100) substrate, reported at two different resolutions. The scaling bars (in the bottom right corner of each panel) correspond to 50 and 1 μm, top and bottom panels, respectively. The lateral resolution of the boundary is estimated at less than 50 nm, a measurement quite likely limited by experimental artifacts.

agents rather than the self-assembly commonly used in other cases.43 Self-assembled layers are typically mobile and can facilitate the opening up of sites on the surface for ALD. In the case of silylation, the silanol surface sites that act as nucleation sites for ALD processes are individually modified and rendered inactive by specific new moieties that once formed are quite stable and immobile on the surface; there is no easy mechanism by which the blocked surface OH sites can be reactivated for ALD unless treated with UV/ozone.

ALD cycles and to the buildup of thicker films.26 One possible explanation for the particularly high chemical selectivity exhibited by our systems is that they rely on the blocking of sites via the strong and stable covalent bonding of silylation

Figure 5. SEM (left panel) and Hf 4f X-ray photoelectron spectroscopy (XPS, right) images for a second Hall bar pattern prepared in the same way as in the data shown above. The XPS image is blurry and shows a diagonal shadow due to an instrumental defect but clearly shows no Hf at all outside the areas patterned by the photomask used during the UV/ozonolysis step of our procedure. D

DOI: 10.1021/acsami.6b07192 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

(9) Saavedra, H. M.; Mullen, T. J.; Zhang, P.; Dewey, D. C.; Claridge, S. A.; Weiss, P. S. Hybrid Strategies in Nanolithography. Rep. Prog. Phys. 2010, 73 (3), 036501. (10) Pimpin, A.; Srituravanich, W. Reviews on Micro- and Nanolithography Techniques and Their Applications. Eng. J. 2012, 16 (1), 37−55. (11) Ritala, M.; Niinistö, J. Industrial Applications of Atomic Layer Deposition. ECS Trans. 2009, 25 (8), 641−652. (12) George, S. M. Atomic Layer Deposition: An Overview. Chem. Rev. 2010, 110 (1), 111−131. (13) Johnson, R. W.; Hultqvist, A.; Bent, S. F. A Brief Review of Atomic Layer Deposition: From Fundamentals to Applications. Mater. Today 2014, 17 (5), 236−246. (14) Mackus, A. J. M.; Bol, A. A.; Kessels, W. M. M. The Use of Atomic Layer Deposition in Advanced Nanopatterning. Nanoscale 2014, 6 (19), 10941−10960. (15) Fang, M.; Ho, J. C. Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9 (9), 8651−8654. (16) Jiang, X.; Bent, S. F. Area-Selective ALD with Soft Lithographic Methods: Using Self-Assembled Monolayers to Direct Film Deposition. J. Phys. Chem. C 2009, 113 (41), 17613−17625. (17) Zaera, F. Mechanisms of Surface Reactions in Thin Solid Film Chemical Deposition Processes. Coord. Chem. Rev. 2013, 257 (23− 24), 3177−3191. (18) Matero, R.; Rahtu, A.; Ritala, M.; Leskelä, M.; Sajavaara, T. Effect of Water Dose on the Atomic Layer Deposition Rate of Oxide Thin Films. Thin Solid Films 2000, 368 (1), 1−7. (19) Kelly, M. J.; Han, J. H.; Musgrave, C. B.; Parsons, G. N. In-Situ Infrared Spectroscopy and Density Functional Theory Modeling of Hafnium Alkylamine Adsorption on Si−OH and Si−H Surfaces. Chem. Mater. 2005, 17 (21), 5305−5314. (20) Goldstein, D. N.; McCormick, J. A.; George, S. M. Al2O3 Atomic Layer Deposition with Trimethylaluminum and Ozone Studied by in Situ Transmission FTIR Spectroscopy and Quadrupole Mass Spectrometry. J. Phys. Chem. C 2008, 112 (49), 19530−19539. (21) Zaera, F. The Surface Chemistry of Atomic Layer Depositions of Solid Thin Films. J. Phys. Chem. Lett. 2012, 3 (10), 1301−1309. (22) Dai, M.; Kwon, J.; Halls, M. D.; Gordon, R. G.; Chabal, Y. J. Surface and Interface Processes During Atomic Layer Deposition of Copper on Silicon Oxide. Langmuir 2010, 26 (6), 3911−3917. (23) Seo, E. K.; Lee, J. W.; Sung-Suh, H. M.; Sung, M. M. Atomic Layer Deposition of Titanium Oxide on Self-Assembled-MonolayerCoated Gold. Chem. Mater. 2004, 16 (10), 1878−1883. (24) Park, K. J.; Doub, J. M.; Gougousi, T.; Parsons, G. N. Microcontact Patterning of Ruthenium Gate Electrodes by Selective Area Atomic Layer Deposition. Appl. Phys. Lett. 2005, 86 (5), 051903. (25) Hashemi, F. S. M.; Prasittichai, C.; Bent, S. F. A New Resist for Area Selective Atomic and Molecular Layer Deposition on Metal− Dielectric Patterns. J. Phys. Chem. C 2014, 118 (20), 10957−10962. (26) Minaye Hashemi, F. S.; Prasittichai, C.; Bent, S. F. SelfCorrecting Process for High Quality Patterning by Atomic Layer Deposition. ACS Nano 2015, 9 (9), 8710−8717. (27) Shi, Z.; Walker, A. V. Room Temperature Atomic Layer-Like Deposition of ZnO on Functionalized Self-Assembled Monolayers. J. Phys. Chem. C 2015, 119 (2), 1091−1100. (28) Zhang, W.; Engstrom, J. R. Effect of Substrate Composition on Atomic Layer Deposition Using Self-Assembled Monolayers as Blocking Layers. J. Vac. Sci. Technol., A 2016, 34 (1), 01A107. (29) Yan, M.; Koide, Y.; Babcock, J. R.; Markworth, P. R.; Belot, J. A.; Marks, T. J.; Chang, R. P. H. Selective-Area Atomic Layer Epitaxy Growth of ZnO Features on Soft Lithography-Patterned Substrates. Appl. Phys. Lett. 2001, 79 (11), 1709−1711. (30) Park, M. H.; Jang, Y. J.; Sung-Suh, H. M.; Sung, M. M. Selective Atomic Layer Deposition of Titanium Oxide on Patterned SelfAssembled Monolayers Formed by Microcontact Printing. Langmuir 2004, 20 (6), 2257−2260.

4. CONCLUSIONS We have successfully demonstrated the use of a simple protocol for the selective patterning of silicon surfaces using an ALD additive process. In our procedure, the surface is first passivated via silylation with an agent such as HMDS or ODTS, and then patterned by selectively removing the silylation agent using a photomask and a combination of UV radiation and simultaneous ozone exposure. We have shown that the ALD growth of HfO2 solid films on the resulting surface only takes place on those areas exposed to the UV radiation. The chemistry is quite selective: no traces of Hf could be detected in the covered areas even after the growth of films as thick as 10 nm on the activated regions of the substrate. An upper limit for the lateral resolution of the surface patterns was estimated at approximately 50 nm, but the real value is likely to be much smaller because our measurements were limited by experimental artifacts. The example reported here is for the patterned deposition of HfO2 films on Si(100) wafers, but the procedure should be easy to extend to other applications.



AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]. Present Address

L.G.: Applied Materials, Santa Clara, CA 95054. Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS Funding for the project was provided by a grant from the U.S. Department of Energy, Office of Science, Basic Energy Sciences, Materials Sciences and Engineering (MSE) Division, under Award Number DE-FG02-03ER46599. The XPS instrument used in this research was acquired with funds from the U.S. National Science Foundation, Grant DMR-0958796. The UV−ozonolysis treatments were carried out using an instrument provided by Prof. Umar Mohideen.



REFERENCES

(1) Biswas, A.; Bayer, I. S.; Biris, A. S.; Wang, T.; Dervishi, E.; Faupel, F. Advances in Top−Down and Bottom−up Surface Nanofabrication: Techniques, Applications & Future Prospects. Adv. Colloid Interface Sci. 2012, 170 (1−2), 2−27. (2) Geissler, M.; Xia, Y. Patterning: Principles and Some New Developments. Adv. Mater. 2004, 16 (15), 1249−1269. (3) Pease, R. F.; Chou, S. Y. Lithography and Other Patterning Techniques for Future Electronics. Proc. IEEE 2008, 96 (2), 248−270. (4) van Dorp, W. F.; Hagen, C. W. A Critical Literature Review of Focused Electron Beam Induced Deposition. J. Appl. Phys. 2008, 104 (8), 081301. (5) Grigorescu, A. E.; Hagen, C. W. Resists for Sub-20-nm Electron Beam Lithography with a Focus on HSQ: State of the Art. Nanotechnology 2009, 20 (29), 292001. (6) Wnuk, J. D.; Rosenberg, S. G.; Gorham, J. M.; van Dorp, W. F.; Hagen, C. W.; Fairbrother, D. H. Electron Beam Deposition for Nanofabrication: Insights from Surface Science. Surf. Sci. 2011, 605 (3−4), 257−266. (7) Coll, M.; Gazquez, J.; Palau, A.; Varela, M.; Obradors, X.; Puig, T. Low Temperature Epitaxial Oxide Ultrathin Films and Nanostructures by Atomic Layer Deposition. Chem. Mater. 2012, 24 (19), 3732−3737. (8) Gates, B. D.; Xu, Q.; Stewart, M.; Ryan, D.; Willson, C. G.; Whitesides, G. M. New Approaches to Nanofabrication: Molding, Printing, and Other Techniques. Chem. Rev. 2005, 105 (4), 1171− 1196. E

DOI: 10.1021/acsami.6b07192 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces (31) Chen, R.; Bent, S. F. Chemistry for Positive Pattern Transfer Using Area-Selective Atomic Layer Deposition. Adv. Mater. 2006, 18 (8), 1086−1090. (32) Färm, E.; Kemell, M.; Ritala, M.; Leskelä, M. Selective-Area Atomic Layer Deposition with Microcontact Printed Self-Assembled Octadecyltrichlorosilane Monolayers as Mask Layers. Thin Solid Films 2008, 517 (2), 972−975. (33) Liu, J.; Mao, Y.; Lan, E.; Banatao, D. R.; Forse, G. J.; Lu, J.; Blom, H.-O.; Yeates, T. O.; Dunn, B.; Chang, J. P. Generation of Oxide Nanopatterns by Combining Self-Assembly of S-Layer Proteins and Area-Selective Atomic Layer Deposition. J. Am. Chem. Soc. 2008, 130 (50), 16908−16913. (34) Lee, W.; Dasgupta, N. P.; Trejo, O.; Lee, J.-R.; Hwang, J.; Usui, T.; Prinz, F. B. Area-Selective Atomic Layer Deposition of Lead Sulfide: Nanoscale Patterning and DFT Simulations. Langmuir 2010, 26 (9), 6845−6852. (35) Guo, L.; Zaera, F. Spatial Resolution in Thin Film Deposition on Silicon Surfaces by Combining Silylation and UV/Ozonolysis. Nanotechnology 2014, 25, 504006. (36) Guo, L.; Qin, X.; Zaera, F. Chemical Treatment of Low-k Dielectric Surfaces for Patterning of Thin Solid Films in Microelectronic Applications. ACS Appl. Mater. Interfaces 2016, 8 (9), 6293− 6300. (37) Chabal, Y. J.; Weldon, M. K.; Queeney, K. T.; Estève, A. Vibrational Studies of Ultra-Thin Oxides and Initial Silicon Oxidation. In Fundamental Aspects of Silicon Oxidation; Chabal, Y. J., Ed.; Springer-Verlag: Berlin, 2001; pp 143−159. (38) Yao, Y.; Zaera, F. Thermal Chemistry of Copper Acetamidinate Atomic Layer Deposition Precursors on Silicon Oxide Surfaces Studied by XPS. J. Vac. Sci. Technol., A 2016, 34 (1), 01A101. (39) Kern, W. The Evolution of Silicon Wafer Cleaning Technology. J. Electrochem. Soc. 1990, 137 (6), 1887−1892. (40) Seah, M. P.; Dench, W. A. Quantitative Electron Spectroscopy of Surfaces: A Standard Data Base for Electron Inelastic Mean Free Paths in Solids. Surf. Interface Anal. 1979, 1 (1), 2−11. (41) Bae, C.; Shin, H.; Moon, J.; Sung, M. M. Contact Area Lithography (CAL): A New Approach to Direct Formation of Nanometric Chemical Patterns. Chem. Mater. 2006, 18 (5), 1085− 1088. (42) Ras, R. H. A.; Sahramo, E.; Malm, J.; Raula, J.; Karppinen, M. Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition. J. Am. Chem. Soc. 2008, 130 (34), 11252− 11253. (43) Herzer, N.; Hoeppener, S.; Schubert, U. S. Fabrication of Patterned Silane Based Self-Assembled Monolayers by Photolithography and Surface Reactions on Silicon-Oxide Substrates. Chem. Commun. 2010, 46 (31), 5634−5652.

F

DOI: 10.1021/acsami.6b07192 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX