Photopolymer Materials and Processes for Advanced Technologies

Sep 25, 2013 - School of Chemical and Biomolecular Engineering,. ‡. School of .... success of photocurable polymer based dental technologies was...
0 downloads 0 Views 2MB Size
Subscriber access provided by University of Virginia Libraries & VIVA (Virtual Library of Virginia)

Perspective

Photopolymer Materials and Processes for Advanced Technologies James Vincent Crivello, and Elsa Reichmanis Chem. Mater., Just Accepted Manuscript • DOI: 10.1021/cm402262g • Publication Date (Web): 25 Sep 2013 Downloaded from http://pubs.acs.org on September 28, 2013

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

Chemistry of Materials is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

TOC:    

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Photopolymer Materials and Processes for Advanced Technologies James V. Crivello% and Elsa Reichmanis†‡§ %

Department of Chemistry and Biochemistry, Rensselaer Polytechnic Institute, Troy, NY 12180



School of Chemical and Biomolecular Engineering, ‡School of Chemistry and Biochemistry,

§

School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia

30332-0100, United States *Address correspondence to [email protected], [email protected]

Photopolymers broadly comprise monomers, oligomers, polymers, or mixtures of the aforementioned materials that upon exposure to light undergo photochemical reactions that result in deep-seated changes in their structures which substantially modify their chemical and mechanical properties. Photopolymers may possess chromophors that provide for their intrinsic photosensitivity. Alternatively, other photosensitive molecules may be added that directly or indirectly interact with the photopolymer upon exposure to light to produce the desired property changes. Examples of various types of photopolymers will be presented in this article along with examples of their use in representative applications.

Key words: Photopolymer, Photopolymerization, Photoresist, Photoacid generator, Imaging

INTRODUCTION

1 ACS Paragon Plus Environment

Page 2 of 52

Page 3 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Photopolymers are hardly new materials. The photosensitivity of Judean asphalt (also known as Syrian bitumen) was known from antiquity, and the hardening and insolubilization of this material due to sunlight induced crosslinking was used both in mummification and for caulking of wooden ships1. Similar materials were employed by Niépce to record the earliest photographic images2. The eventual evolution of these initially primitive photopolymer systems directly resulted in the development of advanced highly sensitive materials and processes that led to today’s microelectronic photoresists. Without such photopolymer systems, there would be no computers, microprocessors or high capacity data storage systems and consequently, no microelectronics revolution. For this reason, it would be hard to overstate the contribution and importance of photopolymer technology that currently pervades virtually all areas of modern life. Many other imaging applications that also rely on the use of photopolymer technologies will be discussed in this article. The rapid conversion of a photopolymer from the liquid state to a solid polymeric material is the basis for the use of photopolymers in the rapidly growing field of UV curing where is it employed in a wide assortment of applications such as coatings, adhesives and printing inks. An especially exciting new growth area for photopolymer systems is 3D imaging. Using 3D imaging, solid plastic objects can be constructed directly from computer drawn images. This article presents a perspective of the progress, development and future prospects for the field of photopolymer technology based on the personal experiences of the two coauthors. The field of photopolymer technology can arbitrarily be divided into imaging and non-imaging applications and these constitute the two major sections of this article.

Types of photopolymers

2 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 52

A photopolymer is any species that undergoes a direct or indirect interaction with light to alter its physical or chemical properties. Encompassed within the term “photopolymer” are included five basic types of systems as shown in Table 1.

In Type 1 photopolymers,

multifunctional, low molecular weight monomers or oligomers possessing polymerizable functional groups, X, undergo a photoinitiated radical, cationic or anionic chain polymerization on irradiation to yield crosslinked network polymers. A photoinitiator, PI, is required in all cases. These systems are predominantly used in the UV curing of coatings, printing inks, adhesives and in some negative working photoresists. Another chemistry that was widely used in negative tone photoresist technology are the Type 2 systems in which the functional groups, X, are intrinsically photoactive and undergo coupling or dimerization reactions on irradiation. Network polymers are also formed by these Type 2 photopolymers. Type 3 photopolymer systems consist of two-components with each component bearing complementary reactive functional groups, X and Y. The reaction between X and Y results from the excitation of one of the groups by light or alternatively, it may occur through the mediation of a photoinitiator. As will be discussed, thiol-ene and thiol-yne photopolymers undergo facile crosslinking polymerization on irradiation in the presence of a free radical photoinitiator. On irradiation, the functional groups, X, present in Type 4 photopolymers undergo a deep-seated photochemical reaction that converts them into Y groups. The photochemical reaction may occur as a result of the intrinsic reactivity of the X groups or the transformation may be mediated by the presence of a photoactive compound whose photolysis generates a catalytically acitive species.

The

conversion of X groups to Y groups results in a major shift in the solubility of the entire polymer molecule. As will be explained further, this is the principle of chemical amplification employed for most modern high performance positive tone photolithographic systems. Lastly, the Type 5,

3 ACS Paragon Plus Environment

Page 5 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

photopolymer systems are composed of a polymer that undergoes chain cleavage reactions on photolysis. A second, photoactive compound, PI, is usually present to provide the actual species, an acid, base or free radical that performs the cleavage reactions, although in some cases the groups along the chain are themselves photoactive and undergo bond-breaking reactions. As a result of the reduction in molecular weight, the fragments of the polymer chain produced by photolysis are more soluble than the starting high molecular weight macromolecule. solubility difference allows such photopolymers to be used as positive tone photoresists.

Table 1. Categories of photopolymers Type 1. Photopolymers that undergo photoinitiated chain growth.

Type 2. Photopolymers that undergo step-growth polymerization

Type 3. Functional polymers that undergo photoinduced crosslinking

Type 4. Polymers that undergo functional group modification under irradiation. 4 ACS Paragon Plus Environment

This

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 52

Type 5. Polymers that undergo photoinduced cleavage reactions. h

X

X

Y

X n

Y + 2

Y

(PI)

Free Radical Photopolymerization Almost as soon as they were prepared and characterized, the sensitivity of certain vinyl monomers such as styrene to polymerization in the presence of light was noted3.

This

observation was made well before the free radical nature of those photopolymerizations had been determined. Ostromislensky4 was perhaps the first to demonstrate that the photopolymerization of vinyl bromide involved a photoinduced free radical chain reaction.

Many compounds,

including the thermal free radical initiator 2,2-azobisisobutyronitrile, were subsequently found to be dissociated by light. This photochemistry found an immediate use in the determination of the chain termination constants for free radical polymerizations in the rotating sector methods first used

by

Tobolsky

and

his

coworkers5,6

and

later

by

Bamford

and

Brumby7.

Basic developments in the field of organic photochemistry led to the discovery of a number of systems that efficiently undergo facile homolytic photocleavage or electron-transfer reactions to generate free radicals with high quantum yields. The potential of these compounds as photoinitiators was quickly realized.

The period between 1960-1970 saw the rapid

commercial development and commercial introduction of these photoinitiators by companies such as Ciba-Geigy, BASF, AKZO and Cray-Valley. This activity led to the generation of a plethora of efficient free radical photoinitiators with wavelength sensitivities that span almost the

5 ACS Paragon Plus Environment

Page 7 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

entire UV spectrum.

The use of dye-based photoinitiator systems also allows these

photopolymerizations to be carried out using visible light.

A detailed discussion of the

photochemistry and applications of both unimolecular and bimolecular photoinitiators is beyond the scope of this perspective and the reader is referred to comprehensive literature sources on this topic8,9. Along with the above described developments in photoinitiator chemistry, there have also been ongoing major improvements in both lamps and light delivery systems. Starting with the commonly available mercury arc lamp, improvements in light output and wavelength emission distribution were achieved through doping with other elements. The operating life of these lamps was greatly extended by development of the microwave activated lamp systems that resulted in the elimination of electrodes. In addition, the use of alternative light sources such as lasers and long-lived, solid state UV emitting LEDs is now becoming commonplace. Initially, reactive monomer and oligomer systems that were traditionally employed together with well established thermal or redox free radical initiator systems were used as photopolymers. For example, unsaturated polyester oligomer-styrene monomer systems that were previously used in applications such as bulk and sheet molding compounds or lay- or sprayup fiberglass composites were utilized by simply exchanging the thermally activated free radical initiator system for a photoinitiator.

Subsequently, as a result of a demand for higher

performance materials, a wide range of multifunctional acrylate and methacrylate monomers and oligomers were developed. This resulted in the founding of a number of companies specifically focused on providing specialty multifunctional monomers for photopolymer applications. Acrylate and methacrylate chemistry depicted in equation 1 is very well suited for photopolymer applications and these highly reactive functional groups have been incorporated as

6 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 52

end and pendant moieties in oligomers with polyester, polyurethane, polyurea, polypolyether and polydimethylsiloxane backbones. It should be mentioned that while it is possible to carry out the free radical photopolymerization of monofunctional vinyl monomers, no real practical or significant commercial reason to do so has yet been identified.

Rather, all commercial

applications of photopolymerization technology employ multifunctional monomers or a combination of multi- and monofunctional monomers.

The resulting polymers are,

consequently, insoluble, infusible, crosslinked network polymers.

(1)

Today, such photopolymerization technologies are encompassed within the general term “UV curing”. The growth of UV curing technology over the past 30 years in the coatings, adhesives and printing ink industries has been phenomenal. UV curable systems have made significant inroads in replacing traditional thermally cured and solvent-based technologies in these and many other applications as well.

The main factors driving the adoption of

photoinitiated polymerization technology arise from the high rates of polymerization that are achieved with such systems together with environmental benefits that derive from the very low energy requirements and elimination of the use volatile organic solvents. These benefits are most apparent in large scale, high throughput manufacturing applications such as found in the coatings, printing inks and adhesives industries that are also among the largest consumers of

7 ACS Paragon Plus Environment

Page 9 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

energy and major contributors to both air and water pollution. For example, most composite wood products (i.e. particle board) currently used for furniture, cabinets, bookcases, and doors are fabricated using a three-step process in which each step makes use of a different photopolymer. The first step involves the application and UV cure of a highly filled coating to seal and planarize the porous wood surface. A UV curable ink is printed onto the surface to simulate a wood grain and finally, a protective topcoat is applied and irradiated. Similarly, the free radical photopolymerization of acrylate-terminated polyurethanes provide for tough, abrasion resistant, waxless floor coverings. In addition, UV cured transparent abrasion resistant protective coatings are applied to a number of common, everyday items such as compact discs, eye glasses and automobile headlight lenses. Solventless UV curable printing inks specially designed for gravure, flexographic, screen, ink jet and pad printing are widely employed on paper, metal, glass and plastic packaging, signs, wallpaper and for high quality magazines and books10. Conductive UV curable screen printing inks are used to print interconnect circuit elements on circuit boards in the electronics industry. UV curable conformal coatings are applied to assembled circuit boards to provide electrical isolation and corrosion protection. Similarly, solventless UV cure technology has been widely implemented in the pressure sensitive adhesive industry for use in many consumer items including tapes, note pads, labels and bandages11,12. The above applications represent typical examples of simple, line-of-sight uses of UV curing in which the irradiating light is delivered from a stationary light source onto a flat photopolymer-bearing surface substrate that is passed under the irradiation source by a conveyor or a moving web. Such in-line processing is well adapted to the rapid deposition and UV cure of photopolymers, and that is precisely where this technology has had its greatest impact. Recently,

8 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 52

the use of robot-guided movable light sources has been applied to the UV cure of objects with more complex geometries. One example is the application and curing of coatings on completely assembled furniture. Another major area of recent intense activity relates to the development of photocurable automotive finishes. The environmental impact of the successful replacement of solvent-based thermally cured coatings by photopolymers in this one application would be highly significant. Fiber optic coatings with highly tailored refractive indices are routinely applied at high speeds using lamp assemblies equipped with elliptical reflectors to provide uniform light intensity at all positions around the circumference of the optical fiber. Virtually all of the fiber optic cable produced today is coated using this method. Free radical photopolymers have penetrated some other rather unique markets. For example, they are now commonly used within dentistry as photocurable adhesives, sealants composites and protective coatings. In this arena, photopolymers have garnered a considerable record for safety, ease of use and reliability by dentists and patients alike. Key to the success of photocurable polymer based dental technologies was the development of free radical photoinitiator systems based on camphorquinone coupled with hand-held irradiation sources that allow

the

use

of

dermatologically

inactive

light

(400-500

nm)

for

conducting

photopolymerization processes. Other medical uses for UV curing include the use photocurable adhesives in the assembly of catheters and hearing aids, the bonding of needles to syringe barrels, surgical masks, medical filters and blood analysis sensors. Along with the many examples of the commercial success of photoinitiated free radical polymerizations, there remain several outstanding issues that must still be addressed. Among these is the persistent problem of oxygen inhibition. Oxygen reacts with both initiating and propagating carbon centered free radicals present in the photopolymerization of vinyl monomers

9 ACS Paragon Plus Environment

Page 11 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

to both inhibit and retard their polymerization. This is most evident at the photopolymer surface/ air interface where it is manifested as tackiness, an indicator of incomplete and inefficient polymerization. Despite the efforts of many investigators, there appears to be no completely satisfactory technical and economically viable solution to this problem. Currently, the best ways to circumvent oxygen inhibition is to either conduct the UV cures under an inert atmosphere or overwhelm the oxygen inhibition effect with the use of large amounts of photoinitiator. Another inherent problem is the relatively low thermal resistance and low glass transition temperature of the photocured crosslinked vinyl polymer products.

Lastly, as with all highly crosslinked

polymer materials, vinyl-based photopolymers tend to be glassy, brittle and exhibit low elongation, toughness and impact resistance. Over the past three decades, there have been a number of attempts to employ photopolymers based on free radical-induced thiol-ene chemistry in various commercial applications13,14,15. A number of products were introduced into the market only to be later withdrawn.

Thiol-ene reactions possess some aspects of both condensation and addition

polymerizations. The reaction mechanism is shown in Scheme 1.15,16 Irradiation of a diaryl ketone initially produces the singlet excited state (eq. 2) that undergoes intersystem crossing (ISC) to the excited triplet. This latter species is capable of abstracting hydrogen atoms from a thiol (eq. 3). Other photochemical sources of radicals can also be employed to initiate this reaction. In some cases, direct UV-vis irradiation is sufficient to induce reaction in the absence of a photoinitiator. The resulting thiyl radicals add to terminal double bonds (eq. 4) generating carbon-centered radicals that subsequently abstract hydrogen atoms from the thiol (eq. 5). This step sets up a chain reaction (eqs. 4 and 5) that results in the near quantitative anti-Markovnikov addition of a thiol group to a carbon-carbon double bond. Photopolymers based on thiol-ene

10 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 52

chemistry have many desirable features including high cure speeds, low sensitivity to oxygen inhibition and the ability to readily tailor their mechanical properties through rational design of both the thiol and ene components. Thiols also undergo double addition to acetylenic triple bonds under the same photoinduced free conditions. The so-called “thiol-yne” reactions have also received a great deal of attention in recent years17,18. Major impediments to the utilization of this chemistry in large scale commercial applications have been poor photopolymer shelf-life coupled with the strong and objectionable thiol odor.

The poor shelf-life of thiol-ene mixtures makes it difficult to provide room

temperature stable one-component systems. At the same time, the thin film applications in which these photopolymers are used enhance the rate of thiol evaporation and compound the odor problem. Although a number of investigators19,20,21,22 claim to have developed chemistries that overcome these issues, there appears to be a general reluctance by industry to continue to pursue thiol-ene approaches in their products.

At the same time, the high efficiency and

versatility of the photoinduced thiol-ene reaction make it highly attractive as a multipurpose “click” reaction23,24.

Some of the applications that have been explored are: the

biofunctionalization of polymers, surface functionallization, synthesis of dendrimers and the preparation of specialty monomers. These applications will be discussed later in this article.

(2) (3)

(4)

11 ACS Paragon Plus Environment

Page 13 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(5) Scheme 1. Mechanism of the thiol-ene reaction.

Cationic Photopolymerization The discovery in the mid-1970s that aryl onium salts such as diaryliodonium, I25,26, triarylsulfonium, II,27,28, and N-alkoxypyridinium salts, III29, bearing anions of low nucleophilic character can serve as latent photochemical sources of strong protonic acids made it possible to extend the range of practical photopolymerizations to monomers and functional oligomers that polymerize via cationic mechanisms.

I

II

III

Diaryliodonium and triarylsulfonium salts, I and II, have received the most attention as cationic photoinitiators, and a number of these compounds are now available from commercial sources. These salts are stable, crystalline compounds that can be prepared using a variety of straightforward synthetic methods. A wide range of structural modifications of both the onium cations as well as their anions can be carried out without appreciably affecting their photosensitivity.

Structural modifications of the basic onium salt structures I and II are

generally carried out to “tune” their UV absorption characteristics and modify their solubility. The quantum yields for the photolysis of diaryliodonium (0.730) and triarylsulfonium salt (0.50.731,32) photoinitiators are typically high.

For comprehensive information sources on the 12

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 52

chemistry and reactivity of onium salt cationic photoinitiators, the reader is directed towards several recent reviews on this topic33,34,35. A detailed mechanism that has been proposed for photolysis of diaryliodonium salts is depicted in Scheme 236,37.

A similar mechanistic scheme has been suggested for the

triarylsulfonium analogs38. In both cases, photoinduced homolytic and heterolytic cleavages respectively of a carbon-iodine or carbon-sulfur bond takes place with the resultant formation of a variety of radical, cationic and radical-cationic species.

Subsequent reaction of these

intermediates with water or other protonic substances present in the monomer produces a Brønsted acid that is predetermined by the anion present in the starting salt.

Scheme 2. Proposed mechanism for the photolysis of diaryliodonium salts.

Thus, the selection of diaryliodonium and triaryliodonium salts bearing such anions as SbF6- or PF6- allow for the in-situ generation of the corresponding Brønsted acids; HSbF6 and 13 ACS Paragon Plus Environment

Page 15 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

HPF6. Such acids are exceedingly powerful cationic polymerization initiators. The range of monomers susceptible to cationic photopolymerizations in the presence of these onium salt photoinitiators is very broad and encompasses such diverse monomers as styrenic compounds, vinyl ethers, N-vinyl carbazole, lactones, lactams, cyclic ethers, cyclic acetals and cyclic siloxanes. However, from the practical perspective of their use in photopolymers, the most important monomers and reactive oligomers are multifunctional epoxides, oxetanes and vinyl ethers. Within these three broad classes of monomers there exists a considerable range of reactivity. The structure-reactivity relationships in photoinitiated cationic polymerization of multifunctional epoxide monomers has been the subject of considerable investigation since epoxides provide the best combination of high reactivity along with excellent solvent resistance and adhesion to metals, glass and plastics39. Further, the mechanical properties of photocured epoxy resins along with their high thermal resistance allow these materials to be used in applications not served by polymers prepared via free-radical photopolymerization. It should also be mentioned that because photoinitiated cationic polymerizations are not inhibited by oxygen, it is not necessary to carry out these polymerizations under an inert atmosphere. A typical example of an application of cationic photopolymerization is its use in UV curable silicone release coatings for labels.

A label with an aggressive pressure sensitive

adhesive applied to its backside is applied to a silicone-coated carrier that is stripped off when the label is applied to an object. The purpose of the silicone coating is to allow the label to be readily and cleanly removed from its carrier without degrading the adhesive it bears. Depicted in Scheme 3 is the relevant chemistry. Silicone photopolymers bearing terminal and pendant epoxycyclohexane groups are readily synthesized by the platinum-catalyzed hydrosilation of 4-

14 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 52

vinyl-1,2-epoxycyclohexane with an appropriate Si-H functional silicone oligomer. UV-induced photopolymerization of the resulting epoxy-functionalized silicone is carried out using the photoinitiator, bis(4-dodecylphenyl)iodonium hexafluoroantimonate, that was specifically designed to be compatible with the silicone photopolymer40,41. Since this initial work was completed,

another

such

photoinitiator,

4-isopropylphenyl-4’-methylphenyliodonium

tetrakis(pentafluorophenyl)borate, IV, was developed by Fouassier and Cavezzan42 to serve this same purpose. Photocrosslinked silicone release coatings are commonly applied and UV cured at high speeds (300-600 m/min) using two medium pressure mercury arc lamps as the light source. The photocurable silicone materials are designed to replace a solvent-based thermally cured release coating that required a 150 m long natural gas-fired oven. In another high-volume application, UV curable epoxy-based photopolymers were employed for the printing inks use in the graphics and for the abrasion resistant overcoat varnish on beer cans manufactured by the Coors Brewing Co43. At the height of their production, 1 billion cans per year were produced using this solventless, low energy technique. Examples of these two applications are shown in Figures 1 and 2. Similarly, highly tailored functional cationically polymerizable photopolymers find a wide range of uses in coatings, pressure sensitive adhesives, printing inks as well as in many other applications.

15 ACS Paragon Plus Environment

Page 17 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Scheme 3.

Preparation and photopolymerization of epoxy-functionalized silicones for paper release applications

IV

16 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 1. Labels with a UV cured silicone-epoxy release coating applied to a paper carrier. (Photograph provided by General Electric Silicone Products Department)

Figure 2. Beer cans progressing on a conveyor line after receiving a UV cured epoxy coating. (Photograph provided by the Coors Brewing Company)

17 ACS Paragon Plus Environment

Page 18 of 52

Page 19 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Diaryliodonium and triarylsulfonium salts containing substituted and unsubstituted phenyl groups typically absorb strongly in the region 220-350 nm which makes them well suited for use with short wavelength UV radiation. Considerable efforts have been made to extend the range of the sensitivity of diaryliodonium salts to longer wavelengths through the use f photosensitizers.

The chief purpose of these efforts is to allow the onium salt photoacid

generators to be used with such long UV wavelength emitting light sources as LEDs, lasers and with visible light sources such as halogen lamps and, ultimately, sunlight. Many imaging applications in the graphic arts and 3D printing as well as non-imaging applications in the medical and dental fields employ these long wavelength emitting light sources. In addition, it is noteworthy that while conventional mercury and xenon arc lamps emit at short UV wavelengths, most of the energy is emitted at wavelengths from 300-550 nm. This implies that the response of a cationic UV curable system could be greatly improved if more of the available light could be captured. With this in mind, there has been a considerable effort to find ways in which to broaden the spectral response of both diarylidonium and triarylsulfonium salt cationic photoinitiators. Electron-transfer photosensitization has been shown to be the most practical way in which the spectral broadening of the wavelength range of sensitivity of diaryliodonium44,45,46 and triarylsulfonium47,48 salts can be achieved. As exemplified in Scheme 4 for diaryliodonium salts, electron transfer photosensitization involves a photoinduced redox reaction during which a photoexcited compound (photosensitizer, PS) transfers an electron (i.e. is oxidized) usually via the initial formation of an exciplex with the onium salt. The cation-radical, [PS+.] derived from the photosensitizer subsequently reacts with the substrate or undergoes a series of further reactions to generate a Brǿnsted acid. The other product, a diaryliodine free radical, Ar2I•, is

18 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 52

unstable and undergoes irreversible decomposition to form an aryl radical and an aryliodide. In the case of diaryliodonium salts, the reduction potentials are on the order of -5 k-cal/mol, while for triarylsulfonium salts the reduction potentials are approximately three times higher (-28 kcal/mol49). For this reason, the photolyses of diaryliodonium salts are more readily carried out using a wider range of potosensitizers than their triarylsulfonium counterparts.

(6)

(7)

exciplex

(8)

(9)

Scheme 4.

Proposed mechanism diaryliodonium salts.

for

the

electron-transfer

photosensitization

of

Another method for achieving the spectral broadening of the sensitivity of diaryliodonium salts is the so-called “free radical promoted photosensitization”50,51,52,53,54,55. As depicted in Scheme 5, carbon centered radicals such as VI and VII are generated by the efficient photolysis of a long wavelength absorbing free radical photoinitiators which are spontaneously oxidized at room temperature by a diaryliodonium salt to their corresponding carbocations. In this scheme, 2,2-dimethoxy-2-phenylacetophenone is shown to produce the readily oxidizable dimethoxyphenylmethyl free radical (VI). The resulting dimethoxybenzyl carbocation (VII) can

19 ACS Paragon Plus Environment

Page 21 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

interact directly with a monomer (eq. 12) or further react with proton donors to form Brønsted acids.

O Ph

C

OCH3 C

Ph

O

hν ν

C.

Ph

OCH3

.C

+

OCH3

OCH3

V

C

.

Ar2I+ X-

+

Ph C +

OCH3

OCH3

+

Ph C

Z

+

Ar2I

.

+ Z

VII

VIII

X-

Polymer

OCH3

OCH3

Ar2I

Scheme 5.

X-

OCH3

OCH3

Ph C +

(10)

VI OCH3

OCH3 Ph

Ph

.

Ar

.

(11)

(12)

+

ArI

(13)

Proposed mechanism for the free radical promoted photosensitization of diaryliodonium salts and initiation of ring-opening polymerization.

Some general comparisons between diaryliodonium and triarylsulfonium salts can be made that explain why these two types of cationic photoinitiators find use in different application areas. As previously noted, diaryliodonium salts generally possess higher quantum yields for photolysis than triarylsulfonium salts making them the photoinitiators of choice for high speed processes such as coatings, adhesives and printing inks. On the other hand, the higher thermal stability of the triarylsulfonium salts is of critical importance when these compounds are used as photoacid generators in microelectronic photoresists. During processing, the photopolymers (i.e.

20 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 52

photoresists, vide supra) are exposed to both pre- and post-bake operations during which, if onium salt thermal decomposition were to occur, would result in a major loss of image quality.

Imaging Applications of Photopolymers Free Radical Photopolymers in Photoimaging. There are a number of applications from which free radical photopolymerizations are used to define images in solid polymeric films. “Dry film photoresists” are based on acrylate functional polymeric films that are laminated to copper-clad circuit boards. Irradiation of the laminated circuit board using a mask produces a negative image of the mask. Most currently available dry film photoresists are designed to be developed either with water or aqueous base. After development, the circuit features are defined by etching away the copper in the regions in which the resist has been removed. In a similar fashion, photopolymer printing plates in wide usage are imaged using a photographic process with a mask similar to that described above, or directly imaged using a laser writer. Two types of printing plates are in general use with either a solid photopolymer film coated onto aluminum (lithographic plates) or polyester (flexographic plates) carrier backings. The developed printing plates can be mounted directly onto the printing press for use in an actual full color printing process or for pre-press proofing. These printing plates were designed to replace earlier lead-based linotype type-setting processes and have the advantages that they do not need to be recycled and are sufficiently low-cost that they can be simply discarded after use.

The rapid turn-around time for the fabrication of low-cost

photopolymer printing plates has resulted in a major shift in the way that the printing of newspapers and magazines is carried out and has resulted in the widespread incorporation of colored pictures and graphics56,57,58.

21 ACS Paragon Plus Environment

Page 23 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Imaging Using Onium Salt Photoacid Generators A broad area of applications that makes use of the unique photosensitivity of diaryliodonium and triarylsulfonium salts as photoacid generators is imaging. This field can be further broken down according to the various different imaging technologies in which these compounds are currently employed. One of the earliest and simplest imaging applications that were explored was the development of negative tone photoresists based on the solid, multifunctional bisphenol-A epoxy resin, SU-8.

The chemistry is depicted in equation 14.

Imagewise irradiation of the resin in the presence of a triarylsulfonium salt provides a highly thermally stable and plasma etch and chemically resistant resist pattern after solvent development. Shown in Figure 3 is a copper-clad epoxy printed wiring board that was the first application of this chemistry. More recently, this photoresist chemistry has been exploited by Microchem Corp. that has shown that this i-line photoresist is capable of excellent resolution with features having aspect ratios of 10 to 1 or better. Among the many applications where this photoresist technology is currently finding use are: pixel walls, microfluidic channels and ink-jet print heads, nozzles, micro arrays and spacers. An example of a developed pattern array is shown in Figure 4.

22 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 52

(14)

Figure 3. Copper-clad printed wiring board fabricated using the SU-8 negative tone photoresist. (photograph provided by J.V.C.)

23 ACS Paragon Plus Environment

Page 25 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Figure 4. Test pattern array showing 5, 10 and 20 µm post arrays in developed 50 µm thick SU8 photoresist. (Photograph provided by Microchem Corp.) Step and flash imprint lithography was invented by Wilson et al.59,60 as an alternative to conventional optical lithography. Basically, a pattern is transferred to substrate by impressing an optically transparent template through a liquid reactive monomer and then photopolymerizing the monomer by exposure to UV irradiation. After irradiation, the template is removed and reused. The sequence of processing steps is depicted in Figure 5. Although free-radical acrylate and methacrylate monomers were the first monomers used in step and flash imprint lithography, the use of cationic epoxide61 and vinyl ether monomers62 have been also explored for use in this technology.

24 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 52

Figure 5. Processing steps used in step and flash imprint lithography (reproduced from ref. 59).

3D-Imaging Among the most exciting developments in imaging technology that make use of photopolymers is 3D imaging. Originally developed for the fabrication of prototype models, 3D imaging has steadily found broader applications in many different fields63,64. There are several technologies that are used for the production of solid objects that are classified under various names including; “3D imaging”, “rapid prototyping” or the more recent but less accurate term “additive manufacturing”. Only three of these technologies, specifically those that involve the use of photopolymers, will be discussed in this article. They are stereolithography, digital imaging and 3D inkjet printing. All three technologies employ CAD-CAM engineering design 25 ACS Paragon Plus Environment

Page 27 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

as a first step to create a 3D computer image of an object that is to be translated into a corresponding 3D solid plastic object. Next, the computer image is mathematically cut into thin slices and each slice is then reconstructed by the irradiation with UV or visible light of a thin layer of a liquid “photopolymer”. A variety of alternative 3D modeling technologies have also been recently developed and promoted that process thermoplastic polymer powders or strands by laser sintering or simple fusion techniques. Since the base materials used in these 3D imaging technologies are intermediate molecular weight thermoplastics with rather low melting points and glass transition temperatures, they lack the thermal resistance and dimensional stability afforded by the photopolymer based technologies described above that derive from their thermoset network structures. In addition, these imaging techniques are not capable of the level of fine resolution afforded by the 3D imaging methods that employ photopolymers.

Commonly, the photopolymers used in 3D imaging consist of reactive multifunctional monomers and/or oligomers together with a photoinitiator (Type 2 systems) to create the solid object as a network polymer. All 3D imaging technologies require precise spatial and temporal control of the photopolymerization process to allow the rapid construction of 3D solid objects with high dimensional fidelity and low distortion. For this reason, it is important to design the photopolymer to have the lowest volume shrinkage on polymerization. Multifunctional acrylate and methacrylate monomers were the first materials employed in 3D imaging and these monomers, together with non-reactive polymeric components to reduce volume shrinkage are still employed today. More recently, epoxide resins together with cationic photoinitiators are finding increasing use in 3D imaging technology.

These photopolymer systems are used

primarily because their volume shrinkage upon ring-opening photopolymerization is on the order

26 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 28 of 52

of 5-10%, significantly below that of competing acrylate or methacrylate chemistries. Additionally, the good photoresponse and excellent mechanical properties, solvent and thermal resistance of photopolymerized epoxide networks are additional benefits that the cationic cure of epoxy photopolymers brings to these applications. In some cases, hybrid free-radical and cationic systems composed of multifunctional acrylates together with epoxide monomers and oligomers are employed. This combination takes advantage of the high rate of photopolymerization of the acrylic monomer to provide good “green strength” to allow handling, while better mechanical and thermal properties are developed more slowly in the epoxy matrix and are often enhanced by a thermal post-cure. Since the chemistry involved in 3D imaging is essentially identical to that utilized in photoinitiated free radical and cationic photopolymerizations employed for other purposes, the same considerations as discussed previously apply. Stereolithography was the first of the 3D imaging technologies developed65. Using a sharply focused laser, each slice of the computer generated CAD-CAM image is written onto the surface of a liquid photopolymer contained in a bath. The first image is written onto a movable stage submerged just below the surface of the liquid photopolymer and after it is written, the stage is lowered into the bath by an increment equal to the thickness of the next layer to be written. The photopolymer flows over the first layer and the second layer is written on the top of the first. This process is repeated until the entire solid object is written. Then, the stage is raised out of the bath revealing the entire solid plastic object. Figure 6 shows an example of a solid model constructed using the stereolithographic technique.

27 ACS Paragon Plus Environment

Page 29 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Figure 6. An example of a solid model produced using stereolithography. (photograph provided by J.V.C.)

Digital imaging, invented at EnvisionTec, Inc.66, resembles stereolithography in some of its elements. Using this method, the image of an entire layer is projected through a window and onto a thin layer of photopolymer in contact with the stage.

Then the stage is moved

incrementally and fresh photopolymer allowed to flow into the space between the window and the first image.

The image of the next layer is projected through the window and, as in

stereolithography, the process is repeated until the entire solid object is generated. In models with appreciable overhang features, temporary support members are included that must be removed by a post-fabrication machining process. In 3D inkjet printing, the liquid photopolymer is patterned onto the surface of a movable stage using a heated inkjet printhead. Each printed layer is hardened with UV light and then the next layer is printed on top of the first one, and so on. This simple process is surprisingly

28 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 30 of 52

versatile as may be noted in Figure 7 and remarkably precise in generating solid objects with a high degree of fidelity. With the use of dual printheads, a water reducible second photopolymer can be simultaneously deposited and photopolymerized to serve as a temporary support member for undercut features. The removal of such support photopolymers, usually by means of a water jet, eliminates the need for post-fabrication machining. Moreover, advanced inkjet printers are now available with multiple printheads that have the capability of simultaneious construction of solid objects composed of several different materials. A leader in 3D inkjet printing innovation is the Objet Division of the Stratasys Corporation. 3D Inkjet printing, while simple and very versatile, is limited to low viscosity photopolymers. Higher viscosity materials can be used with stereolithography and 3D digital imaging techniques.

29 ACS Paragon Plus Environment

Page 31 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Figure 7. Model of the Stature of Liberty produced by 3D ink-jet imaging.

As mentioned earlier, 3D imaging was initially developed to provide a rapid and low cost means for the fabrication of engineering models and prototypes63,64 and this continues to be one of the major applications for the technology.

Rapid prototyping using 3D imaging has

revolutionized engineering design in the aerospace, automotive and machine parts industries by significantly shortening the development time. The technique automatically and efficiently provides accurate, working models. In the meantime, the range of applications of 3D imaging has expanded rapidly and enormously, and the list of new areas of 3D imaging technology applications continues to grow. A few representative examples will be discussed here. 30 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 32 of 52

Digital imaging has made a very large impact in the way in which molds for investment casting are fabricated. This, in turn, has allowed for the design and rapid fabrication of custom metal machine parts including gears, dental implants, watch parts, fasteners and jewelry. In a very different application, stereolithography is being employed by Align Technology, Inc. for the fabrication of personalized orthodontic retainers used to straighten and correct teeth position and alignment. As practiced by Objet-Stratasys, 3D inkjet printing provides low cost equipment for the production of manufacturing models for appliances, toys, tooling for complex automobile and aerospace parts, manufacturing equipment, dental aligners, and architectural models for design verification to mention just a few typical applications.

Figure 8. Highly porous epoxide framework model produced by digital imaging intended as a prototype for a tissue scaffold. (photograph provided by J.V.C.)

31 ACS Paragon Plus Environment

Page 33 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Over the past decade and a half, there has been a rapid development of 3D imaging techniques based on two-photon polymerization. This technique relies on the absorption of two photons by specialized photoinitiators that results in the excitation and their subsequent photolysis to generate reactive species that can initiate polymerization. Since the absorption of a second photon of energy within the lifetime of the excited state of a molecule is statistically a rare event, the probability of this happening is increased through the use of a very high photon flux. This can be accomplished through the use of a tightly focused laser. To further increase the probability of two photon absorption taking place, two intersecting lasers are used and by controlling the position of the intersection point, one can control the initiation of photopolymerizations both temporally and spatially. Both free radical67,68,69,70 and cationic71 two-photon photoinitiators have been developed and are used with conventional multifunctional acrylate and epoxy monomers.

The resolution that can be obtained using two-photon 3D

imaging is impressive and structures having features smaller than 50 µm have been imaged. Some of the potential applications that have been targeted using this technology are: microgears, microchannels, oscillators, cantilevers and tips for atomic force microscopy.

High Performance Microelectronic Photoresist Materials and Processes Advances in materials chemistry, particularly organic and polymer chemistry, helped facilitate the microelectronics revolution and seemingly ubiquitous availability of data and information72,73,74. The transistor, invented in 1947 at Bell Labs in Murray Hill, NJ, is a critical element of today’s advanced devices, has transitioned from a macro-scale, multi-centimeter sized object to what are now integrated devices with millions of individual elements having dimensions that are only a few tens of nanometers in size75. Microelectronics technology is

32 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 34 of 52

driven by the desire to build devices with a continually increasing number of individual circuit elements per unit area of semiconductor, most commonly silicon76. The ability to shrink the circuit feature size depends upon the lithographic techniques used to pattern individual circuit elements. Briefly, lithography uses a radiation-sensitive material (photoresist) to define desired features which are then transferred into the substrate76. The most common forms of radiation are visible or ultraviolet light, where complex optics are used to pass light through a photomask which blocks resist exposure in defined regions. Depending upon whether the photoresist is positive or negative, the material becomes respectively more or less soluble in a given medium (developer) in the exposed areas (Figure 9)76.

Figure 9.

Schematic representation of the lithographic process for positive and negative acting photoresists. The top right photograph is of the first transistor developed at Bell Labs (reproduced from reference 75) and the lower right image is of the first 80 nm flash memory device fabricated with 193 nm lithography at Bell Labs approximately 50 years later (reproduced from reference 77).

33 ACS Paragon Plus Environment

Page 35 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Optical Lithography and the Advent of Chemically Amplified Resists: The predominant technology used to fabricate state-of-the-art devices continues to be optical lithography. Since the late twentieth century, systems using 248 nm, and more recently 193 nm excimer laser light sources were introduced into device manufacturing lines and have been further refined to improve resolution. The introduction of these short wavelength UV sources necessitated the design and development of new optical (lens, photomask) and imaging (photoresist) materials and processes.

Pertaining to the latter, the “workhorse photoresist”, namely novolac-

diazonaphthoquinone materials (Scheme 6) were simply too absorbant at the irradiation wavelength to provide for uniform exposure through the thickness of the film78. Alternatives were required.

Dissolution Inhibitor

Scheme 6.

A schematic representation of conventional photoresist chemistry based upon novolac/diazonaphthoquinone chemistry.

Early investigations into the design and development of photopolymers for deep-UV lithography focused on traditional mechanisms involving cross-linking, chain scission or dissolution inhibition to effect a change in solubility79. However, the low intensity of short wavelength light available for resist exposure required materials that were more sensitive than typical single photon processes.

The deep UV sensitivity based challenges led to the

development of what are now referred to as chemically amplified resists initially operating at 248 34 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 36 of 52

nm and more recently for 193 nm technology,80,81,82 and particularly for 193-nm technology, those materials are based on aliphatic polymers and dissolution inhibitors formulated with an onium salt photoacid generator (PAG)83. In a chemically amplified resist, one photoproduct, or rather one single photon event, catalyzes several hundred chemical reactions, thereby accelerating photoinduced resist solubility changes. As a result, the demands on light sources were reduced and through extensive materials and process development, the conflicting requirements of high sensitivity (low dose) and process tolerance were balanced83. Representative examples of positive and negative chemically amplified photoresist chemistries are shown in Scheme 7. As noted above, a typical process involves irradiation of the resist coated substrate to generate a strong acid followed by a mild post-exposure bake step to facilitate an acid catalyzed reaction. Subsequent development affords the desired circuit pattern.

Representative negative chemically amplified photoresist chemistry

Representative positive chemically amplified photoresist chemistry

Scheme 7.

Representative chemistries depicting approaches to negative and positive chemically amplified photoresists.

Chemical amplification is often referred to as the first revolutionary change in resist materials chemistry; it led to very sensitive, robust, high-resolution imaging systems. The advent of 193-nm photolithography resulted in a further paradigm shift in materials design. Traditional

35 ACS Paragon Plus Environment

Page 37 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

novolac based resists76 and even 248 nm deep-UV polymer matrices76,80,81,82 relied on aromatic chemical moieties that were far too absorbent at the shorter wavelength.

For 193-nm

lithographic technology, resist systems based largely on aliphatic components (polymers and dissolution inhibitors) were required. However, chemistries functionally identical to earlier resists built upon poly(4-hydroxystyrene) and novolac resin chemistries were preferred57,84,85. Several alternatives were investigated and today, polycyclic aliphatic substituents (to provide improved etching resistance), often coupled to an acrylate based polymer are used pervasively in 193 nm lithographic resist technology.

Materials Approaches to Chemically Amplified Resists Chemically amplified resist (CAR) technology is often viewed as the breakthrough enabling adoption of 248 nm lithography for advanced device fabrication. The first positive CAR was reported by Ito, Willson, and Frechet80,86. The material was based upon the catalytic deprotection of poly(4-tert-butoxycarbonyloxystyrene) (TBS). The tert-butoxycarbonyl group is acid-labile but sufficiently thermally stable that it can be effectively used to mask the hydroxyl functionality of poly(4-hydroxystyrene). When formulated with small amounts of an onium salt photoacid generator, irradiation of the aqueous base insoluble resist film leads to generation of an acid species that upon subsequent baking catalyzes cleavage of the protecting group affording poly(4-hydroxystryene), an aqueous base soluble polymer. The polarity change allows formation of either positive or negative images, depending upon the developer. Since the first reports of t-BOC chemistry, many research groups have investigated alternative materials chemistries and processes for advanced lithographic applications, and today’s advanced chip fabricators routinely use chemically amplified resists for state-of-the-art

36 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 38 of 52

manufacturing83,86,87,88,89. Through the efforts of several research groups, a set of advanced resist design principles began to emerge as outlined in Table 2. Table 2: Photoresist materials design considerations57. Lithographic Parameter Absorption Etching stability Aqueous base solubility Adhesion to device substrate Sensitivity/photospeed Post-exposure delay/ substrate sensitivity Outgassing Aspect ratio of features Low metal ion content Manufacturability/cost

Molecular Characteristic Limited use of olefinic or aromatic moieties to ensure film transparency High levels of structural carbon and limited oxygen content Base solubilizing groups such as hydroxyl, carboxyl imides, sulfonamides, etc. Presence of polar moieties Quantum yield for acid generation, Catalytic chain length for acidolysis, acid strength, protective group chemistry Catalytic chain length for acidolysis, protective group chemistry, acid strength Protective group and photoacid generator chemistry Surface tension effects and mechanical properties Synthesis and scale-up methodology Synthesis and materials scale-up methodology and lithographic process requirements

Challenges for Optical Resists Chemically amplified resist technology has enabled optical lithography to continue into the sub–100 nm regime, well past diffraction limited resolution expectations90,91,92. The ultimate resolution capability of a resist is governed by a complex set of molecular interactions involving the matrix polymer, photoacid generator structure and characteristics, acid diffusion, environmental contaminants such as airborne bases, etc. 93,94,95,96 The multifaceted mechanisms governing the intrinsic resolution limits of chemically amplified resist materials continue to be explored today97,98,99. The critical device dimension for current advanced circuits is well below 100 nm, necessitating a linewidth control of merely a few nm which approaches the molecular size of individual polymer molecules within a resist100.

This level of process control requires

quantitative, nanometer scale measurement of material and transport properties in resist films101,

37 ACS Paragon Plus Environment

Page 39 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

and further necessitates fundamental understanding of the molecular events taking place during each resist processing step. Lin his coworkers at NIST demonstrated the efficacy of x-ray and neutron reflectometry as a general-purpose tool to directly measure acid diffusion and the deprotection reaction front in chemically amplified resists with nanometer resolution102. The technique enabled exploration and identification of important chemical and transport mechanisms in operational chemically amplified resists, and has facilitated process approaches that allow sub-50 nm imaging with specialized optical lithographic techniques (e.g.193i). As line-widths continue to shrink, maintaining the mechanical integrity of high aspect ratio circuit features becomes a significant challenge. A number of approaches have been suggested to enhance the mechanical properties of photoresists103,104,105a. For example, cationic surfactants in a rinse have been explored as one means of reducing pattern collapse in very fine lithographic patterns by reducing the developer surface tension79. However, the surfactant may penetrate into the resist structure, causing pattern deformation. Design of alternative resist chemistries may also afford photoresists with enhanced mechanical properties. However, this approach is likely to be complicated due to the need to balance a multitude of stringent parameters. A promising alternative is the use of a reactive rinse to effectively crosslink the pattern surface, thereby increasing the mechanical integrity of the defined features. Henderson and coworkers105b have recently demonstrated the effectiveness of such an approach which is likely to be applicable not only to the UV photoresists examined in their studies, but also to higher resolution extreme UV (EUV) and electron beam materials chemistries. A range of materials process and optics techniques are available to extend the limits of optical lithography and forestall the need for next generation tools such as EUV or electron beam lithography until they are ready for implementation into device fabrication lines. For instance,

38 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 40 of 52

double patterning processes have been shown to define linewidths in the 20 – 30 nm range. However, to be successful, an exceptionally high degree of process control is required. A more forgiving approach has recently been introduced, namely directed self-assembly (DSA)106,107,108. DSA uses precise patterning of a photoresist layer to form a topographical or chemical prepattern, which then directs the self-assembly of a specifically engineered block copolymer. A positive chemically amplified resist designed for 193 nm immersion lithography first creates a high resolution pre-pattern with requisite surface polarity. This pre-pattern then serves as a template to direct the self-assembly of the block copolymer, resulting in the definition of what may be called sub-lithographic patterns106. Poly(styrene-b-methyl methacrylate) (PS-b-PMMA) is a representative example of a block copolymer used in DSA. Figure 10 presents an illustration of a DSA process scheme.

193 nm Irradiation

Chemically Amplified Photoresist Underlayer

Development

Underlayer

DSA

Underlayer

39 ACS Paragon Plus Environment

Page 41 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Figure 10. One example of a DSA process sequence where a 193 nm positive chemically amplified resist if exposed and developed. The developed features then serve as a template for block copolymer self-assembly.

Beyond Optical Lithography – EUV (13 nm)and Electron Beam Resist Materials Even with the plethora of materials, process and optics approaches to effect subdiffraction limited resolution using photolithography, alternative technologies are likely to be required when device critical dimensions move into the sub-20 nm regime. However, caution is required when making any prediction regarding the demise of photolithography. Recall that in the 1980 timeframe, it was predicted that photolithography would be incapable of fabricating sub-1 µm design rule devices. Today, photolithography continues as the technology of choice for the fabrication of devices with critical dimensions well into the sub-45 nm regime, and research and development efforts continue to identify approaches to define even smaller images. Perhaps the most promising candidate for the next generation of lithographic tools is EUV (13nm) lithography. While nm-scale images can be produced photolithographically, a number of optical enhancement techniques coupled with materials and processes are required. The shorter wavelength of EUV enables definition of smaller critical dimensions through a simple, single exposure process. EUV technology presents a number of challenges, including exposure tool, mask materials and processes, and EUV photoresist chemistry and processes. Certainly, without an appropriately sensitive, high resolution photoresist, the technology will not advance. Research aimed at the design and development of EUV photoresist materials and processes is being actively pursued in a number of academic and industrial labs. While extremely high resolution features can be produced using electron beam lithography, the technology is not currently able to meet throughput requirements of advanced fabs. However, e-beam lithography is commonly used for the production of photomasks, and 40 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 42 of 52

thus electron beam resists are critical: advanced devices simply cannot be fabricated without photomask technology.

E-beam materials face many of the same issues as UV and EUV

photoresists. Tradeoffs between resolution, exposure dose and line edge roughness must be considered in the design and development of any photoresist material and process. For electron beam resists however, factors such as backscattered electrons and charging must also be addressed. Since a photomask is used to define advanced circuit elements which are rapidly approaching the sub-20 nm regime, any error in the mask will translate into a significant perturbation of the desired final device feature. Mask features must be placed accurately and precisely, line edges must be smooth, and feature dimensions must be controlled. Photoresist materials chemistry, coupled with processing is and will continue to be of paramount importance.

The Future of Photopolymer Technology In the main portion this article we have focused on the most important basic chemistries employed in current applications of photopolymer technology such as coatings, adhesives, printing inks, and microelectronic and 3D imaging. Without question, over the past 25 years, implementation of photopolymers in these applications has made a major transformative impact on our society and will continue to do so in the foreseeable future. Looking forward, the prospects for major breakthroughs that would bring a substantial shift away from the photopolymer chemistries currently being employed for these applications is rather slight. Indeed, with increasing environmental consciousness and under pressure from various governmental and local regulatory agencies, it is reasonable to project that the future will experience an even greater reliance on photopolymer technology, 41 ACS Paragon Plus Environment

In the next phase of

Page 43 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

development, one can expect to see photopolymers further penetrate coatings markets such as automotive, aircraft and train metal finishes, interior and exterior architectural coatings, residential flooring, furniture, marine coatings, low maintainance metal coatings for bridges, highway rails, signs, and other public infrastructural applications.

There are many additional areas that offer the potential for exciting new opportunities for the future implementing of existing as well as novel photopolymer technologies. Many of these opportunities involve highly tailored photopolymers with specialized properties that meet exacting requirements of the unique applications for which they are designed. Holographic recording already employs photopolymers109, for example, for use in identification and security badges. However, there exists a much larger potential for holographic recording technology in high density data storage systems110,111. These systems are not only being sought to satisfy the ever increasing demand by government, private consumers and industry for massive data storage and retrieval, but also for stable, long-duration archival purposes. Several photopolymer systems have already been demonstrated that possess the capability of storing greater than 1 terabits of information within the volume of space equivalent to a conventional CD are approaching commercialization. At the present time, there is a considerable effort both in industry and academia to develop additional novel solid photopolymer systems for this application.

Emerging biomedical and dental applications of photopolymers are particularly exciting and have been reviewed in an article by Baroli112. One interesting use of 3D-imaging is to construct personalized orthopedic implants by constructing investment casting molds directly from an x-ray photograph113. A very similar approach is currently being employed by the

42 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 44 of 52

Invisalign Company to provide a series of orthodontic dental aligners to a patient to move and straighten teeth114. As has been previously discussed, photocurable dental composites, sealants, and adhesives are already in widespread use. Light weight UV curable composite splints and casts are a reality and their use is expected to expand115. Similarly, the growth of photocuring as a method with which to fabricate implantable interocular hydrogel lenses116 and contact lenses117 is expected to continue.

The possibility of the fabrication of biodegradable photopolymer

hydrogels to provide soft tissue, cartilage and bone scaffolds is being explored by many workers as is the use of similar photocured materials to encapsulate various cell types and direct their growth118,119,120,121,122 Particularly, exciting is the possibility of mitigating the effect of diabetes by fabricating an artificial pancreas by entrapping living insulin secreting islet cells within a photocured hydrogel matrix123. Photopolymers are also projected to play a future role in the synthesis of membranes124 that passively control drug delivery as well as in the fabrication of implantable microfluidic devices that provide adjustable rates of delivery of pharmacologically active agents125. The use of 3D photopolymerizations to construct prosthetic vascular implants has also been demonstrated126.

In the 1960s Huisgen127 reported the groundbreaking discovery of a new class of organic reactions he termed 1,3-dipolar cycloadditions and suggested that these reactions are analogous to the well known Diels Alder cycloaddition reactions. An example is given in Scheme 8. An azide reacting as a 1,3-dipolar species adds to a terminal alkyne under thermal conditions in a concerted, regio- and stereoselective fashion to generate a 1,2,3-triazole.

In this case, the

reaction is strongly thermodynamically driven towards the formation of the heteroaromatic 1,2,3triazole product.

More recently, Sharpless

128

discovered that this cycloaddition reaction is

43 ACS Paragon Plus Environment

Page 45 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

strongly catalyzed by copper(1) compounds and, under these conditions, it proceeds rapidly quantitatively at room temperature. Impressed by this reaction, Sharpless coined the term “click reaction” to denote the facility with which this reaction takes place. Since that time, there has been an explosion of literature describing the application of the azide-acetylene click reaction to a wide ranging multitude of uses. Among these include bioconjugation of pharmaceutically active, fluorescent, and enzymatically active groups to polymers and oligomers, the attachment of fluorescent markers to cells and bacteria and many others. The success in this area has also touched off a search for additional types of “click” reactions among the chemical community. As currently defined by Sharpless, “click” reactions are efficient intermolecular bond-forming chemical reactions that take place under mild conditions giving high yields of products, with limited or no side reactions, minimum work-up and precise regioselectivity.

They can be

conducted in the presence of and are tolerant of a wide variety of different functional groups. It should be noted that very few organic chemical reactions meet these stringent criteria. Actually, many of the condensation and addition reactions that are usually employed to make linear high molecular weight polymers would qualify under the above definition as “click” reactions although they are generally not included under this term. Several of the other 1,3-dipolar reactions discovered by Huisgen were subsequently investigated as potential polymer forming reactions without much success. Other reactions, such as the already discussed photoinitiated free radical thiol-ene reactions have been classified by some investigators as “click” reactions. However, this categorization has been disputed by Du Prez et al.129 who concluded that the thiolene reaction was not quantitative due to side reactions and therefore did not qualify as a “click” reaction. Despite classifications, the thiol-ene reaction is versatile and highly useful for many purposes and, in particular, for polymer modification and especially for bioconjugation130. In a

44 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 46 of 52

recent review article, Tasdelen and Yagci131 have provided thirteen examples of “click” reactions that are light-induced. For example, Yagci et al.132 and Bowman et al.133 have described the use of in-situ photoreductive methods to convert inactive copper(II) complexes to catalytically active copper(I) complexes for the azide-acetylene “click” reaction.

Similarly, a variety of other

photochemical reactions were described in this article together with prospects of their use in surface functionalization, polymer modification, imaging, fluorescent tagging and other purposes.

It is too early to assess the impact of this “click” chemistry on photopolymer

technology.

fl Scheme 8. Mechanism of the thermally induced 1,3-dipolar azide-acetylene reaction.

There

has

been

considerable

interest

in

the

use

of

photopolymers

and

photopolymerizations in the fabrication of various types of shaped micro- and nanoparticles. Glangchai et al.134 used nanoimprint step and flash lithography with polyethylene glycol diacrylate as the monomer to make shaped particles with sub-100 nm dimensions. Doyle et al.135 have described the UV irradiation of multifunctional acrylate photopolymers within the channels of a microfluidic device to produce shaped discs and elongated flat rod-like plugs. Employing a more advanced microfluidic technique, they call stop-flow interference lithography, this same group136 projected patterns through an interference mask onto a liquid photopolymer within a microfluidic channel and obtained a variety of shaped submicron 3D particles. The authors 45 ACS Paragon Plus Environment

Page 47 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

suggest that this technology might find use in diagnostic and sensing applications. In two communications Du Prez et al.137,138 describe the use of the photoinduced free radical thiol-ene and the thiol-yne reaction photopolymerizations to produce crosslinked porous and non-porous beads. Microfluidics were also employed for these bead fabrications. Reactive beads were obtained by the incorporation of comonomers carrying appropriate functional groups and also by post-polymerization functionalization reactions. Crivello et al.139 prepared solid nanobeads by the cationic photopolymerizations of silicone-epoxy monomer aerosols. They also carried out the photopolymerizations of these same monomers in suspensions in both mineral oil and silicone oils to give both nonporous and macroporous beads 140. Post-functionalization reactions of the beads bearing surface epoxy groups were carried out. A number of applications were suggested including; chromatographic and separation media, drug carriers, solid phase peptide and DNA synthesis, and pH and diagnostic indicators.

Acknowledgements: Elsa Reichmanis gratefully acknowledges the support of the Georgia Institute of Technology, and Boyi Fu and Mincheol Chang for assistance with figures.

References

(1) (2) (3) (4) (5)

Seymour, R .B.; Deanin, R. D. History of Polymer Composites, VNU Science Press, Utrecht, NL, 1987, 319-320. Leggat, R. A. History of Photography from its beginnings till the 1920s, e-book, www.mpritchard, com/photohistory/index.html. Tazuke, S. Polymerization Processes, Schildknecht, C.E.; Skeist, I. editors, John Wiley & Sons, New York, 1977, 681-683. Ostromislensky. I. J. Russ. Phys. Chem. Soc. 1912, 44, 204; Oster, G.; Yang, N-L. Chem. Revs. 1968, 68(2), 125-191. Tobolsky, A. V.; Rodgers, C. E.; Brickman, R. D. J. Am. Chem. Soc., 1960, 82, 1277-1280.

46 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(6) (7) (8) (9) (10) (11) (12) (13) (14) (15) (16) (17) (18)

Böhme, R. D.; Tobolsky, A. V. Encyclopedia of Polymer Science and Technology, Vol. 4, Mark, Gaylord, H. F.; N. G.; Bikales, N. Interscience, New York, 1966, pp. 594-605. Bamford, C. H.; Brumby, S. Makromol. Chem. 1967, 105, 122-127. Crivello, J. V.; Dietliker, K. Photoinitiators of Free Radical Cationic & Anionic Polymerization, 2nd Ed. Vol. III, Bradley, G. editor, John Wiley & Sons, New York, 1998, 118-325. Schnabel, W. Polymers and Light, Wiley-VCH, Weinheim, 2007, 276-287. Raymont, J. Radtech Rpt. Winter 2011, 13-18. Stueben, K.C. Polym. Sci. Technol. 1985, 29, 319-350. Ahn, B.K.; Sung, J.; Kim, N.; Kraft, S.; Sung, X.S. Polym. Intern. 2013, 62(9), 1293-1301. Jacobine, A. T. Polymerization Mechanisms; Fouassier, J. P.; Rabek, J.F. editors, Radiation Curing in Polymer Science and Technology, Elsevier, Science: New York, 1993, 3311-3319. Morgan, C. R.; Magnotta, F.; Ketley, A. D. J. Polym. Sci., Part A: Polym. Chem. 1997, 15, 627645. Hoyle, C. E.; Cole, M.; Bachemin, M.; Kuang, W.; Kalyanaraman, V.; Jönsson, S. Photoinitiated Polymerization, Belifield, K. D.; Crivello, J. V. editors, ACS Symp. Ser. 2003, 52-64. Gush, D. P.; Ketley, A. D. Mod. Paints Coatg. 1978, 68, 61. Naik, S.S.; Chan, J.W.; Comer, C.; Hoyle, C.E.;, Savin, D.A. Polym. Chem. 2010, 2, 303-305. Hensarling, R.M.; doughty, V.A.; Chan, J.W.; Patton, D.L. J. Am. Chem. Soc. 2009, 131(41), 14673-14675.

(19) Esfandiari, P.; Ligon, S.C.; Lagref, J.J.; Frantz, R.; Cherkaoui, Z. Liska, R. J. Polym. Sci., Part A: Polym. Chem. Early view published online 7 Aug. 2013; World Patent 2012126695, Feb. 23, 2012 to Huntsman Advanced Materials. (20) Glaser, D.M.; Grabek, P.J.; Jacobine, A.F. US Patent 5459173A, June 22, 1993, to Locktite Corp. (21) Glaser, D.M. US Patent 5208281, June 4, 1993, to Locktite Corp. (22) Grabek, P.J. US Patents 5399624, June 4, 1993; 5459173, March 21, 1995, to Locktite Corp. (23) (24) (25) (26) (27) (28) (29) (30) (31) (32) (34) (35) (36) (37) (38) (39) (40) (41) (42)

Hoyle, C.E.; Bowman, C.N. Angew. Chem., Internl. Ed. 2010, 49(9), 1540-1573. Kade, M.J.; Burke, D.J.; Hawker, C.J. J. Polym. Sci. Part A: Polym. Chem. 2010, 48, 743-750. Crivello, J. V.; Lam, J. H. W. J. Polymer Sci., Symp. 1976, 56, 383-393. Crivello, J. V.; Lam, J. H. W. Macromolecules 1977, 10, 1307-1315. Crivello, J. V.; Lam, J. H. W. J. Org. Chem. 1978, 43, 3055-3058. Crivello, J. V.; Lam, J. H. W. J. Polym. Sci., Part A: Polym. Chem. 1979, 17, 977-999. Yagci, Y.; Endo, T. Adv. Polym. Sci. 1997, 127, 59-86. Pappas. S. P.; Gatechair, L. R. Proc. Soc. Photogr. Sci. Eng. 1982, 46-54. Crivello, J. V. Adv. Polym. Sci. 1984, 62, 1-48. Baumann, H(33) Fouassier, J.P.; Lalevée, J. Photoinitiators for Polymer Synthesis: Scope, Reactivity and Efficiency Chapter 12, Wiley-VCH, Weinheim, Germany, 2012. Yagci, Y. Ionic Polymerizations and Related Processes, NATO Science Series, Vol. 359, Puskas, J.E.; Armin, M.; Garghi, S. Eds., Springer, New York, 1999, pp. 205-217. Crivello, J.V.; Dietliker, K. Photoinitiators for Free Radical, Cationic and Anionic Photopolymerization, 2nd Ed., Bradley, G. Ed., Wiley, New York, 2000. Dektar, J. L.; Hacker, N. P. J. Org. Chem. 1990, 55, 639-647; J. Org. Chem. 1991, 56, 1838-1844. Devoe, R. J.; Sahyun, M. R. V.; Serpone, M.; Sharma, D. K. Can. J. Chem. 1987, 65, 2342-2349. Dektar, J. L.; Hacker, N. P. J. Chem. Soc., Chem. Commun. 1987, 1592-1596. Bulut, U.; J. V. Crivello, Macromolecules 2005, 38(9), 3584-3595. Eckberg, R. P.; LaRochelle, R. W. U.S. Patent 4,279,717, Jul. 21, 1981, to G.E. Corp. Eckbberg, R. P. U.S. Patent 4,977,198, Dec. 11, 1990, to G.E. Corp. Castellanos, F.; Fouassier, J. P.; C. Priou, C.; Cavezzan, J. U.S. Patent 5,668,192, Sept. 16, 1997.

47 ACS Paragon Plus Environment

Page 48 of 52

Page 49 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(43) (44) (45) (46) (47) (48) (49) (50) (51) (52) (53) (54) (55) (56) (57) (58) (59) (60)

Donhowe, E.; Brady, R. RadTech Rept. 1993, 7(2), 18-23. Crivello, J. V.; Lam, J. H. W.; Volante, C. N. ACS Ctgs. Plast. Prepr. 1977, 37(2), 4-5. Crivello, J. V. J. Polym. Sci., Part A: Polym. Chem. 1999, 37(37), 4241-4254. Gatechair, L. R.; Pappas, S. P. Proc. Org. Ctg. Appl. Polym. Sci. Div. 1982, 46, 707-708. Crivello, J. V.; Lam, J. H. W. J. Polym. Sci., Part A: Polym. Chem. 1979, 17, 1059-1065. Crivello, J. V. Photoinitiated Polymerization, ACS Symp. Ser. 2003, 847, 178-186. Crivello, J. V.; Lee, J. L. Polym J. 1985, 17, 73-83. Ledwith, A. Polymer 1978, 19, 1217-1220. Yagci. Y.; Borbely, J.; Schnabel, W. Eur. Polym. J. 1989, 25(2), 129-131. Klemm, E.; Flammersheim, H.-J.; Märtin, R.; Hörhold, H.-H. Angew. Makromol. Chem. 1985, 135, 131-138. Crivello, J. V.; Liu, S. Chem. Mater. 1998, 10(11), 3724-3731. Mowers, W. A.; Crivello, J. V.; Rajaraman, S. RadTech Rept. 2000, March/April, 34-41. Hua, Y.; Crivello, J. V. Photoinitiated Polymerization, ACS Symposium Series 847, Belfield, K.D.; Crivello, J. V. eds., ACS: Washington, D.C. 2003, 219-230. Cusdin, G. Tappi Journal, 1995, 4, 177-182. Takizawa, K. Fuji Film Res. Dev. 1995, 40, 39-43; Chem. Abstr. 1995, 123, 97689. Bruno, M. H. Principles of Color Proofing, GAMA Communications , Salem, NH, 1986, pp. 133180. Bailey, T.C.; Johnson, S.C.; Resnick, D.J.; Sreenivasan, S.V.; Ekerdt, J.G.; Willson, C.G. J. Photopolymer Sci. Tech. 2002, 15(3), 481-487. Ito, H.; Hould, F.A.; Hart, M.W. DiPietro, R.A. Advances in Resist Technology and Processing, Proc. SPIE, 2006, 6153, 6153A1-6153A11.

(61)

Wang, P-I.; Bult, J.; Ghoshal, R.; Ghoshal, R.; Lu, T-M. Mat Chem Phys. 2011, 129, 678-682.

(62)

Colburn, M.; Johnson, S.; Stewart, M.; Damle, S.; Bailey, T.; Choi, B.; Wedlake, M.; Michaelson, T.; Sreenvasan, S.U.; Ekerdt, J.; Wilson, C.G. Solid State Techn. 2001, 46(7), 67-72. Jacobs, P. F. Stereolithography and Other RP&M Technologies, Am. Soc. Mech. Engineers, New York, 1995. Jacobs, P. F. Rapid Prototyping and Manufacturing, Fundamentals of Stereolithography, Soc. Mfg. Engineers, Dearborn, MI, 1992. Hull, C. W., U.S. Patent 4,575,330, March 11, 1986 to UVP, Inc. John, H. U.S. Patent 6,942,830, April 17, 2000 to Envisiontec, GmbH. Pucher, N.U.; Li, Z.; Ligon, S. RADNEWS 2012, 82, 33-46.

(63) (64) (65) (66) (67)

(68) Pryzinsky, S.A.; Braun, P.V. Adv. Fcnl. Mater. 2005, 15, 1995-2004. (69) (70 (71) (72) (73) (74) (75) (76) (77)

Maruo, S.; Nakamura, O.; Kawata, S. Optics Lett. 1977, 22(2), 132-134. Lee, K.S.; Kim, R.H.; Yang, D-Y.; Park, S.H. Prog. Polym Sci. 2008, 33(6), 631-681. Belfield, K.D. Photoinitiated Polymerization, Belfield, K.D.; Crivello, J.V. ACS Symp. Ser. 847, American Chemical Society, Washington, D.C. 2003, 464-481. Reichmanis, E.; Nalamasu, O. Science 2002, 297, Jul. 19, 349-350. Reichmanis, E.; Thompson, L. F. Chemical Reviews, 1989, 89(6), 1273-1289. Wallraff, G. M.; Hinsberg, W. D. Chemical Reviews, 1999, 99(7), 1801-1822. Bardeen, J.; Brattain, W. H. Phys. Rev. 1948, 74, 230. Thompson, L. F.; Willson, C. G.; Bowden, M. J. Introduction to Microlithography, American Chemical Society, Washington, DC, 1994. Cirelli, R. A., Bude, J., Houlihan, F. M., Gabor, A., Watson, G. P., Weber, G. R., Klemens, F. P., Sweeney, J., Mansfield, W. M., Nalamasu, O., Microelectronic Engineering, June 2000, 53(1-4), 87-90.

48 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(78)

(79) (80) (81) (82) (83) (84) (85) (86) (87) (88) (89) (90) (91) (92) (93)

(94) (95)

(96) (97) (98) (99) (100)

(101) (102) (103) (104)

Page 50 of 52

Reichmanis, E.; Thompson, L. F. Polymers in Microlithography: Materials and Processes, ACS Symposium Series, Vol 412, Reichmanis, E.; MacDonald, S. A.; Iwayanagi, T., eds., Washington, DC, 1989, pp. 1-24. Chandross, E. A.; Reichmanis, E.; Wilkins, C. W. Jr.; Hartless, R. L. Can. J. Chem. 1983, 61(5), 817-823. Wilson, C. G.; Ito, H.; Frechet, J. M. J. Digest of Technical Papers of 1982 Symposium on VLSI Technology, Sept. 1982, Oiso, Japan, p. 86. Crivello, J. V. Polymers in Electronics; Symposium Series 242, Davidson, T., Ed., American Chemical Society, 1984, 11-23. Reichmanis, E.; Houlihan, F. M.; Nalamasu, O.; Neenan, T. X.; Chem Mater. 1991, 3, 394-407. Reichmanis, E.; Nalamasu, O.; Houlihan, F. M. Acc.Chem. Res. 1999, 32, 659-669. Kunz, R.; Palmateer, S. C.; Forte, A. R.; Allen, R. D.; Wallraff, G. M.; Dipietro, R. A.; Hofer, D. C. Proc. SPIE 1996, 2724, 365-376. Allen R. D.; Wallraff, G. M.; Hofer, D. C.; Kunz, R. R. IBM Journal of Research and Development 1997, 41(1/2), 95-104. Willson, C. G.; Ito, H.; Frechet, J. M. J.; Tessier, T. G.; Houlihan, F. M. J. Electrochem. Soc. 1986, 133, 181-187. Thackeray, J. W. J. Micro/Nanolithography, MEMS, and MOEMS, 2011, 10(3), 033009/1033009/8. Sanders, D. P. Chem. Rev, 2010, 110, 321–360. Ito, H. J. Photopoly. Sci. Technol. 2008, 21(4), 475-491. Allen, R. D. J. Photopoly. Sci. Technol. 2007, 20(3), 453-455. Pau, S.; Nalamasu, O.; Cirelli, R. A.; Frackoviak, J.; Timko, A. G.; Watson, G. P.; Klemens, F.; Timp, G. Microelec. Eng. 2000, 53(1-4), 119-122. Sanders, D. P.; Sundberg, L. K.; Sooriyakumaran, R.; Brock, P. J.; DiPietro, R. A.; Truong, H. D.; Miller, D. C.; Lawson, M. C.; Allen, R. D.; Proc. SPIE 6519 2007, 651904-1/12. Cirelli, R.A.; Bude, J.; Houlihan, F.; Gabor, A.; Watson, G.P.; Weber, G.R.; Klemens, F.P.; Sweeney, J.; Mansfield, W.M.; Nalamasu, O. In: Microelectronic Engineering, June 2000, 53(1-4), 87-90. Houlihan, F. M.; Neenan, T. X.; Reichmanis, E.; Kometani, J. M.; Chin, T., Chem. Mater. 1991, 3, 462-471. MacDonald, S. A.; Clecak, N. J.; Wendt, H. R.; Willson, C. G.; Snyder, C. D.; Knors, C. J.; Deyoe, N. B.; Maltabes, J. G.; Morrow, J. R.; McGuire, A. E.; Holmes, S. J. Proc. SPIE, 1991, 1466, 2-12. MacDonald, S. A.; Hinsberg, W. D.; Wendt, R. H.; Clecak, N. J.; Willson,,C. G.; Snyder, C. D. Chem. Mater. 1993, 5, 348-365. Nalamasu, O.; Reichmanis, E.; Cheng,,M.; Pol, V.; Kometani, J. M.; Houlihan, F. M.; Neenan, T. X.; Bohrer, M. P.; Mixon, D. A.; Thompson, L. F., Proc. SPIE 1991, 1466, 13-25. Flagello, D. G., Arnold, B., , Proc. SPIE-The International Society for Optical Engineering, 6327 (Nanoengineering: Fabrication, Properties, Optics, and Devices III), 2006, 63270D/1-63270D/12. Flagello, D. G. Proc. SPIE, 6827 (Quantum Optics, Optical Data Storage, and Advanced Microlithography), 2008, 68271N/1-68271N/11. Melville, D. O. S.; Rosenbluth, A. E.; Waechter, A.; Millstone,M.; Tirapu-Azpiroz, J.; Tian, J.; Lai, K.; Inoue, T.; Sakamoto, M.; Adam K.; Tritchkov, A. J., Vac. Sci. Technol. B 29, 2011, 06FH04, doi: 10.1116/1.3662090. Schmid, G. M.; et al., , Proc. SPIE 2001, 4345, 1037Lin, E. K., et al., , Science 2002, 297, 372-375. Wu,W.-L.; Prabhu, V. M.; Lin, E.K. Proc. SPIE, 2007, 6519, 651902-1/11. Jamieson, A.; Olson, B.; Lu, M.; Wilcox, N.; Proc. SPIE, 8682, 2013, 868203-1/12.

49 ACS Paragon Plus Environment

Page 51 of 52

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

(105) a) Singh, L.; Ludovice, P. J.; Henderson, C. L.; Thin Solid Films, 2004, 449(1-2), 231-241. b) Yeh, W.-M.; Noga, D. E,;Lawson, R. A.; Tolbert, L. M.; Henderson, C. L.; Proc SPIE, 2010, 7639, 76391-1/6. (106) Cheng, J. Y.; Sanders, D. P.; Truong, H. D.; Harrer, S.; Friz, A.; Holmes, S.; Colburn, M.; Hinsberg, W. D. ACS Nano, 2010, 4(8), 4815-4823. (107) Minegishi, S.; Namie, Y.; Izumi, K.; Anno, Y.; Buch, X.; Naruoka, T.; Hishiro, Y.; Nagai, T., J. Photopolym. Sci. Technol. 2013, 26(1), 27-30. (108) Kim, B. H.; Kim, J. Y.; Kim, S. O. Soft Matter, 2013, 9, 2780-2786. (109) Yokoyama, K.; Matsuo, T.; Tanigawa, H. Proc. SPIE 4659, Practical Holography XVI and Holographic Materials VIII, 2002, 334-343.

(110) Psaltis, D.; Burr, G.W. Computer, 1998, 31(2), 52-60. (111) Curtis, K.; Dhar, L.; Hill, A.; Wilson, W.; Ayres, M. Holographic Data Storage, Wiley, New York, 2010, 115. (112) Baroli, B. J. Chem. Technol.Biotechnol. 2006, 81, 491-499. (113) Anseth, K. S.; Shastri, V. R,; Laurencin, C.T.; Langer, R. Polym. Mater. Sci. Eng. 1996, 74, 385386. (114) Joffe, L. Journal of Orthodontics 2003, 30 (4), 348–352. (115) Estrin, T. E.P. 1272581 B1, Apr. 28, 2004, to Sartomer Co. (116) Green, G.F.; Lai, Y-C.; Rusico, D.V. U.S. Patent 7,276, 544 B2, Sept. 8, 2003, to Baush & Lomb, Inc. (117) Siegel, S.P. E.P. 1871608 A2 Jan. 2, 2008 to Con-Trol-Cure, Inc. (118) Yang, S.; Leong, K-F.; Du, Z.; Chua, C-K. Tissue Eng. 2004, 81, 1-11. (119) Folch, A.; Mezzour, S.; Düring, M.; Hurtado, O.; Toner, M.; Müller, R. Biomed. Microdevices 2000, 2:3, 207-214. (120) Ifkovits, J.L.; Burdick, J.A. Tissue, Eng.2007, 13(10), 2369-2385. (121) Stanpfl, J.; Fouad, H.; Seidler, S.; Liska, R. Internl. J. Mater. Prod. Technol. 2004, 21(4), 285296. (122) Torgersen, J.; Ovsianikov, A.; Mironov, V.; Pucher, N.U.; Qin, X.H.; Li, Z; Cicha, K.; Marhacek, T.; Jantsch-Plunger, V.; Liska, R.; Stampfl, J. J. Biomed. Optics 2012, 17(10), 1-10. (123) Tibbitt, M.W.; Anseth, K.S. Biotechnol. Bioengg. 2009, 103, 655-663. (124) Liu, S.; Anseth, K.S. J. Controlled Release 2002, 57, 291-300. (125) Itoga, K.; Yamato, M. Kobayashi, J.; Kikuchi, A; Okano, T. J. Biomed. Mater. Res. 2004, 69A, 391-397. (126) Baudis, S.; Steyrer, B.; Pulka, T.; Wilheim, H.; Bergmeister, H.; Stampfl, J.; Liska, R. Macromol. Symp. 2010, 296(1), 121-126. (127) Huisgen, R. Angew. Chem. Intern. Ed. 1963, 2(10), 565-598. (128) Kolb, H.C.; Finn, M.G.; Sharpless, B. Angew. Chem. 2001, 113, 2056-2075; Angew. Chem. Int. Ed. 2001, 40, 2004-2021. (129) Koo, S.P.S.; Stamenovic, M.M.; Prasath, R.A.; Inglis, A.J.; Du Prez, F.E. J. Polym. Sci., Part A: Polym. Chem. 2010, 48(8), 1699-1713. (130) Stenzel, M.H. ACS Macro Lett. 2013, 2(1) 14-18. (131) Tasdelen, M.A.; Yagci, Y. Angew. Chem. Int. Ed. 2013, 52, 5930-5938. (132) Tasdelen, M.A.; Yagci, Y. Tetrahed. Let. 2010, 51, 6945-6947; Tasdelen, M.A.; Yilmaz, G.; Iskin, B.; Yagci, Y. Macromolecules 2012, 45, 56-61. (133) Adzima, B.J.; Tao. Y.; Kloxin, C.J.; DeForest, C.A.; Anseth, K.S. Bowman, C.N. Nat. Chem. 2011, 3, 256-259. (134) Glangchai, L.C.; Caldorera-Moore, M.; Shi, L. J. Controlled Release 2008, 125(3), 263-272. (135) Denukuri, D.; Tsoi, K.; Hatton, T.A.; Doyle, P.S. Langmuir, 2005, 21, 2113-2116. (136) Jang, J-H.; Dendukuri, D.; Hatton, T.A.; Thomas, E.L.; Doyle, P.S. Angew. Chem. 2007, 119, 9185-9189.

50 ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(137) Gokmen, M.T.; Van Camp, W.; Colver, P.J.; Bon, S.A.F.; Du Prez, F.E. Macromolecules, 2009, 42, 9289-9294. (138) Prasath. R.A.; Gokmen, M.T.; Espeel, P.; Du Prez, F.E. Polym. Chem. 2010, 1, 685-692. (139) Vorderbruggen, M.A.; Crivello, J.V.; Wu, K.; Breneman, C.M. Chem. Mater. 1996, 8, 1106. (140) Falk, B.; Crivello, J.V., Chem.Mater. 2004, 16, 5033-5041; Falk, B.; Crivello, J.V. J. Appl. Poly. Sci. 2005, 97, 1574-1583.

51 ACS Paragon Plus Environment

Page 52 of 52