Processing Approaches for the Defect Engineering of Lamellar

Dec 9, 2013 - ACS eBooks; C&EN Global Enterprise .... The in-plane connectivity and continuity of lamellar-forming polystyrene-block-poly(methyl ...
0 downloads 0 Views 2MB Size
Article pubs.acs.org/Macromolecules

Processing Approaches for the Defect Engineering of LamellarForming Block Copolymers in Thin Films Ian P. Campbell, Soichi Hirokawa, and Mark P. Stoykovich* Department of Chemical and Biological Engineering University of Colorado at Boulder, Boulder, Colorado 80309, United States S Supporting Information *

ABSTRACT: The in-plane connectivity and continuity of lamellarforming polystyrene-block-poly(methyl methacrylate) copolymer domains in thin films depend on the density and relative population of defects in the self-assembled morphology. Here we varied film thickness, degree of polymerization, thermal annealing time, and annealing temperature in order to engineer the defect densities and topology of the lamellar morphology. Assembly in thicker films leads to lower defect densities and thus reduced connectivity of the lamellar domains, which is considered in the context of the activation energies and driving forces for defect annihilation. Systems with smaller degrees of polymerization were also found to achieve lower defect densities and reduced domain connectivity. Most importantly, the relative populations of each type of defect were unaffected by the defect density, and these morphologies had similar long-range continuities. Controlling processing conditions such as thermal annealing time and temperature, in comparison, was ineffective at tuning the defect density of block copolymer lamellae because quasi-equilibrium morphologies were rapidly achieved and subsequently remained quasi-static. These results provide a framework for selecting the composition, degree of polymerization, and processing parameters for lamellar-forming block copolymers in thin films for applications that either require low defect densities (e.g., in the directed assembly of microelectronic architectures) or benefit from high defect densities (e.g., in network structures for transport).



INTRODUCTION The bicontinuous nature of block copolymer nanostructures in the bulk has been exploited in devices that require mass or charge transport, such as organic photovoltaics,1−4 ion transport membranes,5−7 and separation membranes.8−14 Mass or charge carrier flux in these devices relies on percolating transport and is dependent on the number of available pathways. The number of connections between adjacent domains of the same type may be referred to as the “connectivity” of a domain and indicates the number of possible pathways for transport (it also informs pathway redundancy), while the “continuity” of a system generally indicates whether or not there is an available pathway for transport over arbitrarily large distances. Surprisingly, symmetric diblock copolymers that self-assemble into parallel, wellaligned lamellae are often bicontinuous in the bulk.15 In this case, it is defects at lamellar grain boundaries that provide the necessary domain connectivity to allow for system-wide continuity. Two-dimensional (2D), in-plane transport in thin films systems has many intrinsic similarities to three-dimensional (3D), bulk transport. Increasing the connectivity of continuous 2D nanostructures by adjoining neighboring domains modifies the in-plane transport characteristics by creating additional pathways. However, there is a key difference between 2D and 3D transport, in that bicontinuous structures © 2013 American Chemical Society

do not exist in 2D because the domain that forms a substratespanning, continuous network limits the continuity of the other domain. Therefore, the type and density of defects formed during selfassembly of block copolymers in thin films play important roles in the block copolymer morphology and the potential application of such materials. The topological features (e.g., branches and end points) of block copolymer “networks” in 2D are the result of disclination and dislocation defects generated during self-assembly. These defects can form network nodes, or branches, that increase the connectivity of a single block copolymer domain while simultaneously subdividing the opposing domain. A study by Kim and co-workers tracked the types of defects in thin films of lamellar-forming PS-bPMMA with f PMMA ≈ 0.49 and found that 70% of the defects were poly(methyl methacrylate) (PMMA) core dislocations.16 This type of defect forms a branch in the polystyrene (PS) domain, contributing to increased PS network connectivity by bridging adjacent PS lamellae and subdividing a PMMA lamella into two distinct segments. We have shown previously that the relative branch and end point densities for lamellar-forming Received: August 14, 2013 Revised: November 17, 2013 Published: December 9, 2013 9599

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

block copolymers in thin films are dependent strictly upon the copolymer’s composition and that the block copolymer used by Kim and co-workers should have continuous and interconnected PS domains based on the copolymer composition relative to the point of zero spontaneous curvature ( f PMMA ≈ 0.51).17 This expectation indeed matches the predominance of PMMA core dislocations that were observed.16 At the other end of the spectrum to transport-based applications that benefit from the presence of defectivity to provide nanostructures with long-range continuity and redundancy, the directed assembly of block copolymers in thin films has been developed to eliminate defect structures and achieve perfect assemblies with long-range order. Directed assembly of block copolymers is a candidate for sub-10 nm lithography, in part, because defect densities below 1/m2 have been predicted to be energetically favorable.18 Although the experimental defect densities reported for the directed assembly of lamellar-forming block copolymers on chemically patterned substrates are already exceedingly low, the thermodynamics of the copolymer system and the processing conditions may introduce defects and limit the ability to achieve perfect pattern fidelity. In particular, it might be anticipated that the use of directed assembly techniques to stabilize the formation of device-relevant but nonstandard morphologies (e.g., Tjunctions, jogs, isolated features, among others)19−22 that have higher free energies will present the challenge of simultaneously making defect structures relatively more energetically favorable and likely to form. In addition, the kinetics of defect annihilation in lamellar-forming block copolymers are slow due to large activation barriers for defect annihilation.16 This has so far limited most studies on reducing or controlling the defect densities to cylinder-forming block copolymer systems, which are not as suitable as lamellarforming block copolymers for lithography and pattern transfer templates.23−25 The excessively slow kinetics of defect annihilation in lamellar-forming block copolymer systems suggest that thermodynamic changes, in both lowering activation barriers or increasing the driving force (i.e., ΔG between the final and initial states), will be most successful at controlling the types and overall density of defects. In this article, we characterize the morphology, including branch and end point densities, of lamellar-forming PS-bPMMA over a range of common processing parameters in thin films. The in-plane connectivity and long-range continuity of the lamellar morphology are dictated by the types and relative concentration of lamellar defect structures that arise from the self-assembly and thermal annealing processes. Significant control over the branch and end point densities in lamellar morphologies, either favoring more or less interconnected structures, can be achieved by varying the film thickness and copolymer degree of polymerization, whereas the thermal annealing conditions (e.g., time and temperature) have negligible effects on the morphology. Increasing film thickness or decreasing degree of polymerization was found to dramatically reduce the defect density as might be achieved by enhancing the driving force or reducing the activation barrier for defect annihilation, respectively. These conclusions are shown to be universal for lamellar-forming PS-b-PMMA, regardless of whether the system is PS- or PMMA-dominant compared to the point of zero spontaneous curvature and regardless of whether PS or PMMA core defects are formed preferentially. These thermodynamic dependencies facilitate block copolymer self-assembly without relying on kinetically

trapped morphologies and also suggest relevant approaches for eliminating defects. Understanding the relationship between the block copolymer materials, the copolymer and thin film processing conditions, and the resulting defectivity during selfassembly provides insight into practical methods for engineering defect densities and morphologies, for example, as needed in the directed self-assembly of block copolymers using chemically heterogeneous or topographic guiding surfaces.



MATERIALS AND METHODS

Substrate Preparation. Block copolymer domains in thin films orient perpendicular or parallel to a substrate depending on the substrate’s wetting behavior. Perpendicular orientations of the lamellar domains were achieved using a substrate with neutral wetting behavior consisting of a random copolymer mat with 59 mol % polystyrene (PS), 40 mol % poly(methyl methacrylate) (PMMA), and 1 mol % glycidyl methacrylate (GMA).26−29 The random copolymer was synthesized using free radical polymerization,29,30 and a solution of 0.3 wt % polymer in anhydrous toluene was prepared. Silicon wafers (purchased from Montco Silicon) were cleaned with piranha solution, rinsed with deionized water, and dried under vacuum at 100 °C for 12 h. The wafers were then rinsed in anhydrous toluene (EMD Chemicals, 99.8 wt %), blown dry with a nitrogen stream, and spincoated with the random copolymer solution. Annealing at 190 °C for 4 h cross-linked the random copolymer into a dense mat and subsequent sonication in toluene removed any unreacted material. Block Copolymer Processing. Block copolymer thin films were spin-coated onto the neutral substrate and thermally annealed to selfassemble into the lamellar morphology. PS-continuous block copolymer [polystyrene-block-poly(methyl methacrylate) or PS-bPMMA, 53K:54K, PDI = 1.16], PMMA-continuous block copolymer [PS-b-PMMA, 47K:58K, PDI = 1.09], and a low degree of polymerization PS-continuous block copolymer [PS-b-PMMA, 25K:26K, PDI = 1.06] were used as purchased from Polymer Source, Inc. Solutions of block copolymer were prepared by weighing out dry polymer and adding anhydrous toluene to achieve the desired weight fraction. These solutions were spin-coated onto previously prepared neutral substrates and thermally annealed under ∼2 Torr vacuum for the time and temperatures specified. The lamellar periodicity (L0) of the samples was measured by FFT and found to be ∼52, ∼50, and ∼34.5 nm for PS-b-PMMA (53K:54K), PS-b-PMMA (47K:58K), and PS-b-PMMA (25K:26K), respectively. PMMA domains were removed by exposure to 1 J/cm2 UV at 254 nm and rinsing in glacial acetic acid followed by DI water. The FFT spectrum for each block copolymer is available in the Supporting Information. Nanostructure Imaging. Thin film block copolymer structures were imaged using a JEOL JSM-7401F scanning electron microscope (SEM) operating at a 2 kV accelerating voltage and 1 kV sample bias. The emission current was kept constant at 10 mA, and images were captured at 25 000 magnification using an in-lens detector. The nanostructures observed at the top surface of the film were assumed to propagate through the film to the substrate based on cross-sectional SEM images of similar systems with thicknesses approximately equal to L0.31 Characterization of the Lamellar Block Copolymer Morphology. The scanning electron micrographs had their contrast enhanced and were smoothed using the ImageJ software.32 The lamellar morphology was characterized using an in-house program developed for Matlab (v. R2012a, The Mathworks, Natick, MA). In the images, the white/light gray and black/dark gray regions correspond to PS and PMMA, respectively. Filtering was able to approximately equalize the width of each domain before converting the image to black and white. Continuous networks formed by black or white pixels were identified and assigned a numerical label. Branch points and end points in each network were found after the network was skeletonized to a singlepixel width. Persistence lengths were calculated by first fitting a line to each pixel along with its six nearest neighbors, followed by calculating the tangent vector for each pixel. The cosine of the difference in angle between each pair of pixels in a network segment was calculated 9600

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

span the imaged area (3.56 μm × 4.8 μm). The PS domain, overlaid on the right side of Figure 1, is dominated by a large red network spanning most of the imaged area, with some smaller networks inlaid and bordering the image, although it is possible that the structures on the image border are connected to the largest network outside the imaged area. This slight asymmetry in copolymer composition was sufficient to produce a continuous PS domain and subdivide the PMMA domain into many short, distinct segments. Past work has shown that varying the relative volume fraction of each domain can produce continuous changes in connectivity/continuity, alter the fundamental lamellar structure of PS-b-PMMA, and incorporate increasingly large fractions of a domain into a substrate-spanning network.17 That work implied that the number of defects contributing to connectivity in the continuous domain increases relative to the total defect population as the composition becomes increasingly asymmetric. Common defects that occur during random self-assembly of lamellar-forming block copolymers are shown in Figure 2. The

alongside the contour length between pixels. The average correlation for each path length was calculated over 10 images (∼170 μm2), as shown in the inset of Figure 4. These lines were fit to a generic definition for persistence length, ⟨cos(θ)⟩ = 0.5(exp(−L/P) + 1), where θ is the difference in angle between interfacial tangent vectors, L is the path length between pixels, and P is the persistence length. The scaling factors normalized the persistence length such that the longrange correlation was equal to 0.5, which is physically realistic based on the calculation method for cos(θ) used here. The brackets denote that the cosines are averaged over all possible starting locations. A linearized least-squares fit was used to determine the persistence length parameter.



RESULTS The connectivity and continuity of two-dimensional block copolymer domains are important considerations when using these materials as lithographic templates. Figure 1 shows a

Figure 1. Network analysis of PS-b-PMMA (53K:54K) block copolymer. The raw SEM image was separated into PMMA (dark gray) and PS (light gray) domains, and the continuous networks were found. Each color represents a continuous network for either the PMMA (overlaid on the left) or PS (overlaid on the right) domain. Some colors are repeated due to a limited color palette.

representative continuity map for polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) with a PMMA volume fraction of f PMMA ≈ 0.48. This volume fraction favors PS connectivity and continuity due to the higher fraction of PS compared to the point of zero spontaneous curvature at f PMMA ≈ 0.51.17,33 The higher volume fraction of PS favors the formation of dislocation and disclination defects with PMMA cores, leading to increased connectivity and continuity of the PS domain through the creation of branches in the PS lamellae. In Figure 1, a representative SEM image is divided into the PMMA (dark gray) and PS (light gray) domains, and each continuous network for the domain is colorized. Some colors are repeated in the images due to a limited color palette. Domains with high connectivity and continuity are characterized by networks that span large areas of the image and incorporate large fractions of the domain into the largest network, whereas domains with low connectivity are qualitatively characterized by a large number of distinct segments in the imaged area. Transparent projections of the PMMA and PS networks are overlaid on the original SEM on the left and right, respectively. The PMMA domain is divided into many short network segments and has no structures that

Figure 2. Defect structures formed during self-assembly of lamellarforming PS-b-PMMA (53K:54K) in thin films. The red dashed circles with square markers correspond to pairs of oppositely signed dislocation defects. The green dashed circles with triangle markers denote same signed dislocation defects that have come together to create a domain wall boundary. The blue circles outline disclination defect structures. The defects shown all have PMMA cores because the copolymer composition favors PS continuity and forms a relatively large population of defects with PMMA cores.

block copolymer in Figure 2 has f PMMA = 0.48, and defects contributing to the PS continuity are highlighted. These defects have PMMA cores because packing frustration in the majority domain leads to the increased formation of defects with minority domain cores. Dislocation defects are one of the most common and topologically pertinent defects that occur during self-assembly. These defects are highlighted with both red and green dashed circles. The red circles correspond to pairs of oppositely signed dislocation defects that have migrated to each other and could facilitate a possible coherent defect annihilation event while maintaining a constant director field. In each red circle, the red squares correspond to the dislocation’s split into 9601

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

with a concomitant decrease in the number of PMMA end points from 57/μm2 to 20/μm2 at thicknesses of 0.86L0 and 1.89L0, respectively. From the SEM images shown in Figures 3a and 3c, the continuity of the PS domain is preserved when increasing the film thickness, with a large red network extending throughout the imaged area, even though the absolute density of defects is decreased. The PMMA domain in Figure 3a is broken into many distinct segments, but the PMMA domain in Figure 3c shows a much larger yellow network that extends across a large portion of the imaged area. While the large PMMA network in Figure 3c does not completely span the imaged area, it highlights the morphological change that occurs as defects are annihilated and the overall defect density decreases; longer, straighter lamellae are able to form although redundancy in the PS domain decreases. The relative defect populations preserve PS continuity even as the overall defect density decreases, although the density of defects contributing to PMMA continuity (i.e., PMMA branches and PS ends) remains constant over the range of examined film thickness. This observation indicates that defects in the minority domain are relatively independent of film thickness, and that increased film thickness primarily affects the energetics of defects and defect annihilation in the majority domain. It is plausible that defects in the minority domain are rapidly annihilated to a steady state defect density, and from a transport-limited perspective, the low concentrations of such defects limit the frequency with which they encounter possible partners for defect annihilation. The overall reduction in defect density allows the PMMA lamellae to form long, uninterrupted networks, such that the PMMA domain has longer-range continuity than it does in very thin films, but it is still discontinuous over the imaged areas. The results shown in Figure 3d indicate that film thickness is a critically important parameter for engineering the defect density of self-assembled block copolymers and providing control over the lamellar morphologies in thin films. Thin films (t < L0) are found to be unable to annihilate high energy defects that are much less common in thicker films (t > L0). In a 2D lamellar system, the energy of each line defect will scale linearly with film thickness to increase the driving force for defect annihilation (i.e., the difference in energy between the initial and final states). Additionally, defect annihilation in thick films may occur more readily than in thin films due to greater degrees of freedom for the transition state morphologies during the annihilation process. Defects typically go through a highenergy intermediate state before reducing the overall energy of the film through defect annihilation. The energy of that intermediate state during annihilation, relative to the starting state, is the activation energy associated with defect annihilation. In thin films where t < L0, there are a limited number of intermediate conformations that can be assumed during defect annihilation due to the spatial confinement between the free surface and the substrate. Thus, the interface between lamellae must adopt high curvatures as defects are annihilated under confinement, increasing the activation energy due to unfavorable interfacial bending. Thicker films facilitate three-dimensional interfacial structures, lower the activation barrier associated with defect annihilation, and subsequently enhance the kinetics and rate of defect annihilation events at a given temperature. Furthermore, it is known that the annihilation of disclination defects occurs through the favored pathway of forming dislocation loops and climbing, whereas in thin films the dislocation defects must glide to migrate through

two lamellae through the creation of a branch point. This is also true of the same signed dislocation defects that have grouped together in areas highlighted by the green dashed circles. In this case, the dislocations have migrated together to form a domain wall boundary, with each dislocation possessing a PMMA core. This again contributes to overall connectivity and continuity of the PS domain by creating multiple PS branch points. The green triangles correspond to the center of each dislocation defect structure, and the triangles overlay a branch in the PS network. By grouping same signed dislocations together, the overall disruption of the director vector is reduced through cooperative alignment, the distortion energy is reduced, and coherent defect annihilation may be possible. Disclination defect structures are enclosed in blue dashed circles, and the key portions of the disclinations are traced by a dashed line. Each disclination defect is paired with a dislocation defect, shown with the blue triangles, and together they lower the total distortion energy compared to isolated features. It is important to note that these highlighted defect structures possess PMMA cores and that the overall continuity of the lamellae favors PS continuity and connectivity through the creation of PS branches. There are also defect structures in Figure 2 that contribute to PMMA continuity and connectivity, but the population of these structures is relatively small compared to defects that favor PS continuity. The relative defect populations and absolute defect density determine the overall connectivity and continuity of the selfassembled block copolymer network structure. Here we have varied (i) film thickness, (ii) degree of polymerization, (iii) thermal annealing time, and (iv) annealing temperature to examine the effects of these block copolymer processing parameters on the defect density and network topology of lamellar-forming PS-b-PMMA in thin films. Pure kinetic control was not effective for tuning the defect density of lamellarforming block copolymers, but by controlling the thermodynamic parameters for defect annihilation (i.e., driving force or activation energy), it was possible to alter the network connectivity of the lamellar structures independently of their long-range continuity by favoring or inhibiting defect annihilation over relevant time scales. Film Thickness. Significant control over domain connectivity was achieved by varying the film thickness. Representative lamellar morphologies for PS-b-PMMA thin films annealed at 190 °C for 10 days with thicknesses of 0.86L0, 1.54L0, and 1.89L0 are shown in Figures 3a, 3b, and 3c, respectively. In Figure 3a, it can be seen that the PS domain is highly continuous due to a high number of branch points. The red PS domain has very few interior networks, and the PMMA domain is broken into a very large number of distinct segments. From the center region of Figure 3a, it can be seen that the lamellae are highly tortuous, forming a large number of high energy disclination defects with PMMA cores.16,24 The high total density of defects with PMMA cores generates highly interconnected and continuous PS networks. Correspondingly, there are high areal densities of network branches for the majority PS domain and network end points for the PMMA domain, shown in Figure 3d. The PS branch density at 0.86L0 is double that for a film thickness of 1.18L0. As the film thickness is increased further, the areal densities of branch points in the PS domain and end points in the PMMA domain are reduced significantly. From the peak density of 77 /μm2 at a thickness of 0.86L0, the branch density reaches a low of 23 /μm2 at a thickness of 1.89L0. The drop in PS branch density is coupled 9602

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

Figure 3. Thickness-dependent lamellar network morphologies of PS-b-PMMA in thin films as characterized by SEM analysis. Thicker films result in lower defect densities, as seen in the network analysis of films with thicknesses of (a) 0.86L0, (b) 1.54L0, and (c) 1.89L0. (d) The branch and end point densities for PS-b-PMMA (53K−54K). The domain density values are shown with red triangles for PS and with blue squares for PMMA. PS-bPMMA (47K:58K) exhibits analogous trends in films with matching thicknesses of (e) 0.86L0, (f) 1.39L0, and (g) 1.93L0. (h) The branch and end point densities for PS-b-PMMA (47K:58K) normalized to compare the same area relative to L0 for PS-b-PMMA (53K−54K). (i) PS nanostructures after the PMMA domain is removed by UV exposure and acetic acid rinsing. All scale bars correspond to 1 μm (unless otherwise noted), and all error bars correspond to two standard deviations.

the film until they can directly interact with another defect.23,34 However, many defects in thin films are pinned in space by the surrounding defects, and the overall lamellar mobility is limited. These interpretations are consistent with past work by Kramer and co-workers25 on defect annihilation during the directed assembly of parallel-aligned cylinders, in which it was found

experimentally that bilayer films of aligned cylinders displayed dramatically reduced defect densities as compared to monolayer films. It was confirmed through self-consistent field theory calculations that the reduced defect density can be attributed to decreased confinement of the cylindrical unit cell in a bilayer conformation.25 9603

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

To demonstrate that defect engineering through film thickness control remains applicable for lamellar systems on the other side of the point of zero spontaneous curvature (f PMMA ≈ 0.51), a block copolymer with a PMMA volume fraction of f PMMA ≈ 0.53 was investigated. This polymer preferentially formed PS core defects, which created continuous PMMA domains. Figures 3e, 3f, and 3g show the network morphologies of thin films of PS-b-PMMA (47K:58K) at thicknesses of 0.86L0, 1.39L0, and 1.93L0 annealed at 190 °C for 10 days. The L0 of PS-b-PMMA (47K:58K) was found to be 50 nm (see Figure S2 in the Supporting Information), which was similar to the L0 of 52 nm found for PS-b-PMMA (53K:54K). The similarities in degree of polymerization and identical block chemistries allow for direct comparisons without concern about the effects from slight differences in χN. In order to accurately compare the lamellar morphology of the two polymers, the branch and end point densities were normalized by the ratio of (L0,47K:58K/L0,53K:54K)2 to ensure that the same areas relative to L0 were examined. In Figures 3e, 3f, and 3g, the PMMA domain forms a highly connected blue network that spans the imaged area, and the PS domains are broken into a large number of distinct segments. These results are analogous to those presented earlier for the lamellar system with highly interconnected PS domains. The branch and end point densities for PS-b-PMMA (47K:58K) are presented in Figure 3h and quantitatively follow nearly identical trends to those presented for PS-b-PMMA (53K:54K) in Figure 3d. It is worthwhile to reiterate that the structures observed by SEM at the top surface of the films are indicative of the lamellar morphology throughout the thickest films considered here, as shown in Figure 3i of the PS nanostructures generated after PMMA domain removal. We do not observe any threedimensional structures (e.g., bifurcations) in these images (which are representative of much larger areas), thus indicating that the lamellar structure observed at the top surface propagates to the substrate. While thicker films make it more difficult to completely remove the PMMA domain (as observed by the relative decrease in the width of the gap or dark regions between PS features), there are no three-dimensional structures associated with the lamellar domains, and each film thickness can be utilized as a lithographic template after a brief reactive ion etch processing step.35 Three-dimensional structures that are characteristic of defect annihilation events18,36 are present near a small fraction of the dislocations and disclinations because the lamellar morphologies are dynamic, with the annihilation and generation of defects during the self-assembly and annealing processes occurring through the formation of such localized transition state structures. The remarkable qualitative and quantitative agreement between the two lamellar-forming PS-b-PMMA systems suggests that the same defect annihilation processes occur in both systems, albeit compositionally inverted. These results confirm that the relative populations of PS core and PMMA core defects can be tuned by varying the composition, independently of the overall defect density. It is important to note that increasing asymmetry in the relative volume fraction of each block will further shift the relative defect populations to favor continuity of one domain and thereby increase connectivity slightly. Overall, these findings suggest a methodology for tuning the network morphologies of lamellar-forming PS-b-PMMA block copolymers in thin films; tuning the relative defect populations of PS and PMMA core defects shifts lamellar

domain continuity, and adjusting the defect density through the film thickness changes lamellar connectivity. The lamellar domains in Figure 3 also exhibit longer-range alignment between neighboring lamellae as the film thickness is increased. It is intuitive that lower defect densities in thin films would lead to morphologies more closely resembling lamellar structures in the bulk, the characteristics of which are highly ordered and aligned domains with low interfacial bending. While asymmetric block copolymers have a high spontaneous interfacial curvature resulting from unequal contributions to the entropic component of the free energy, the interfacial curvature of lamellae in thin films is diverse due to the presence of aligned domains (i.e., with low interfacial curvature) and localized disclination or dislocation defects (i.e., with high interfacial curvature).37,38 One quantitative measure of the alignment between neighboring lamellae is the persistence length, which represents the correlation length of individual lamellar segments. The persistence length of individual lamellae provides valuable information regarding the long-range order, with longer persistence lengths corresponding to well-aligned lamellae that persist uninterrupted in a single direction. Figure 4 shows the persistence lengths for block copolymers of PS-b-

Figure 4. Lamellar persistence lengths for PS-b-PMMA (53K:54K) (red triangles) and PS-b-PMMA (47K:58K) (blue squares) as a function of film thickness. Thicker films with low defect density results in increased lamellar correlation and forms long, well-aligned lamellar segments. The correlation distribution for PS-b-PMMA (53K:54K) is shown as an inset, with shorter persistence lengths showing a rapid decay in correlation. Error bars correspond to two standard deviations based on regression analysis of the fits to the calculated values for correlation.

PMMA (53K:54K) and PS-b-PMMA (47K:58K) as a function of film thickness. The inset of Figure 4 shows the correlation distributions for each film thickness of PS-b-PMMA (53K:54K). The persistence length for PS-b-PMMA (53K:54K) shown in Figure 4 steadily increases from 0.44L0 to 1.40L0 as thickness increases from 0.86L0 to 1.89L0. Persistence lengths for PS-b-PMMA (47K:58K) follow a similar trend, increasing from 0.58L0 to 1.51L0 at thicknesses of 0.86L0 and 1.93L0, respectively. These results quantitatively confirm that thinner films stabilize the bending of lamellar domains in comparison to thicker films, in addition to an increase in regions of high interfacial curvature that arises around defect structures. Degree of Polymerization. Defect annihilation in thin film lamellar-forming block copolymers is also a function of the interfacial tension between blocks that scales with the Flory− Huggins interaction parameter (χ) and the degree of 9604

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

polymerization (N). Polymer systems with lower values of χN do not have as large an energetic penalty when adopting high curvature interfaces34 and may facilitate lower defect densities in thin films through a reduction in the activation energy for defect annihilation. Additionally the polymer chain mobility and defect diffusion increase with decreasing degree of polymerization. These kinetic effects may be important during the evolution of block copolymer structures, but the lamellar morphologies examined here are representative of quasi-static morphologies at long times. A representative SEM of PS-bPMMA (25K:26K) at a thickness of 1.29L0 annealed for 10 days at 190 °C is shown in Figure 5a. The periodicity of PS-bPMMA (25K:26K) was found to be 34.5 nm (see Figure S3) and χN ≈ 18.39 PS-b-PMMA (25K:26K) has f PMMA ≈ 0.49, which allows for a most direct comparison with films of PS-bPMMA (53K:54K) (f PMMA = 0.48) that have χN ≈ 37.39

However, it is important to note that in addition to PS-bPMMA (25K:26K) being comparatively less compositionally asymmetric the point of zero spontaneous curvature is calculated in the strong segregation limit and the symmetry point in the lamellar phase shifts toward 0.50 as χN decreases. This explains, in part, why the PS domain does not appear to be as highly continuous in PS-b-PMMA (25K:26K) (Figure 5a) as compared to that in PS-b-PMMA (53K:54K) (Figures 3a−c). Figure 5b shows the branch and end point densities for PS-bPMMA (53K:54K) in films of varying thickness and a PS-bPMMA (25K:26K) film at a thickness of 1.29L0. The branch and end point densities were normalized as before to allow comparison in terms of equal areas relative to L0. The areal defect densities (i.e., branches and end points) are dramatically reduced as N is decreased, in part because of the lower interfacial bending rigidity and a reduced activation energy for defect annihilation. This finding is analogous to literature demonstrating that reduced χN facilitates the nucleation of defects in the directed self-assembly of block copolymers due to a decreased energetic penalty associated with each defect, but in assemblies that lack long-range order the energies of the intermediate states are also lowered and serve to lower the barriers for defect annihilation.25,36 Along with the reduced defect density, a small shift in relative defect populations with PS and PMMA cores is observed relative to PS-b-PMMA (53K:54K) due to a small difference in the compositional asymmetry. The high magnification required to obtain SEM images of PS-b-PMMA (25K:26K), as well as the decreased connectivity due to the lower defect densities and the longer lamellar persistence lengths, does not allow a single micrograph to capture the long-range continuity for this block copolymer. The persistence length was found to be 1.49L0 for PS-b-PMMA (25K:26K) at a thickness of 1.29L0, which is a nearly 2-fold increase compared to 0.94L0 for PS-b-PMMA (53K:54K) at a thickness of 1.54L0. The decrease in relative defect density allows the lamellae to adopt a structure close to that of the bulk, with long, well-aligned lamellae continuing uninterrupted for long distances. Taken together, these results suggest that forming highly interconnected block copolymer domains at low values of χN requires a greater degree of volumetric asymmetry than at higher χN. While varying the degree of polymerization as done here also changes the periodicity and size of the templated structures, the same effects can be achieved by varying the interaction parameter. Using different copolymer chemistries allows independent control of the interaction parameter and the degree of polymerization, thus enabling tunability of the feature size, network connectivity, and domain continuity for block copolymer lamellae in thin films. Annealing Time. The kinetics of defect annihilation plays an important role in the resulting connectivity of the block copolymer lamellar networks. It is also important to ensure that all analyses of the thermodynamics associated with defect annihilation are far removed from kinetic effects, such that the network morphology is in a quasi-static state. It has been shown, for example, that cylinder-forming block copolymers in thin films with domains oriented parallel to the substrate have grain sizes that increase with annealing time and defects that annihilate at a predictable rate.23,24,40 Specifically, the correlation length has been found to have a power law dependence on annealing time (ξ ∼ t0.25).33,36 On the other hand, although defects are also able to annihilate in lamellarforming block copolymers, the rate is much slower and the defect populations are relatively static.16

Figure 5. (a) Network analysis of PS-b-PMMA (25K:26K) at a thickness of 1.28L0. The decreased value of χN (∼18) compared to PS-b-PMMA (53K:54K) (∼37) leads to much lower defect densities. (b) Branch and end point densities for PS (open red triangles) and PMMA (open blue squares) domains of PS-b-PMMA (25K:26K) are circled for comparison to PS (closed red triangles) and PMMA (closed blue squares) domains in PS-b-PMMA (53K:54K). The lower χN facilitates defect annihilation and leads to a decreased number of branches and end points. The reduced number of network features reduces the connectivity of the PS domain compared to PS-b-PMMA (53K:54K), even though these PS-b-PMMA systems share a similar level of volumetric asymmetry. Error bars correspond to two standard deviations. 9605

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

Figure 6 shows characteristic SEM images of 1.18L0 thick PSb-PMMA (53K:54K) films annealed at 190 °C for (a) 2 h, (b) 6 h, and (c) 10 days along with the corresponding (d) branch and end point densities. This film thickness was chosen because of its comparable size relative to the periodicity of the polymer,

which was found to be 51.8 nm. It can be seen that the PS domain is highly connected at all times, with a single PS network spanning the imaged area and that the PMMA domain is divided into a large number of distinct segments. At short times (2 h, Figure 6a) there is a single PMMA network that spans a significant fraction of the imaged area, but by 6 h (Figure 6b) the structure resembles that of a sample annealed for 10 days (Figure 6c). The large PMMA network at 2 h is correlated with a population of PS core dislocation defects that are annihilated rapidly, leading to decreased connectivity of the discontinuous PMMA domain over time. The stepwise change in PS end point and PMMA branch point densities between 4 and 6 h suggests that a small population of PS core dislocation defects undergo simultaneous annihilation processes during the initial stages of thermal annealing. We hypothesize that the annihilation of these defects occurs in parallel and is initiated nearly simultaneously after the conclusion of the microphase separation portion of block copolymer self-assembly. The sharp transition thus may be attributed to similar annihilation kinetics of specific defect types during self-assembly. Beyond 6 h, the network morphology becomes quasi-static and the total defect density and relative defect populations remain constant. This is reflected in Figure 6d, which quantifies the end point and branch point densities over time. These results suggest that the thin films rapidly assume their average network morphology and are unable to evolve further, leading to limited kinetic control over defect density and network morphology. The quasi-static morphologies observed at longer times also confirm that the defect structures characterized in prior sections on varying film thickness and degree of polymerization were thermodynamically controlled morphologies. Annealing Temperature. It may be anticipated that defect densities in lamellar structures in thin films can be tuned based on the annealing temperature. Increased annealing temperatures may provide additional thermal energy to overcome the activation barrier for defect annihilation and also increase defect mobility. Approaching the order−disorder transition (ODT) has been shown, however, to increase the absolute defect density in parallel-aligned cylinders of polystyrene-b-poly(2vinylpyridine) (PS-b-P2VP).25 While accessing the ODT is possible for PS-b-P2VP because its χ parameter has a strong dependence on temperature, the χ for the PS-b-PMMA system is relatively independent of temperature and allows for studying the equilibrium structures at a similar χ over a wide range of temperatures.39 The lamellar morphologies of PS-b-PMMA films annealed at 170 and 230 °C for 10 days are shown in Figures 7a and 7b, respectively. Qualitatively, it is difficult to distinguish between films annealed at the different temperatures. Quantitatively, there is a slight trend toward higher branch densities for the majority component at elevated temperatures, coupled with a decrease in end points for that component. The small quantitative difference may indicate that additional PMMA core dislocation defects form, slightly increasing the PS connectivity, but it is not a substantial difference. The minority PMMA domain has fewer branches and a greater number of end points as temperature increases, which correlates well with the formation of shorter PMMA segments.17 The additional branch points in the PS domain may be attributed to the stabilization of low-energy PMMA core dislocation defects at higher temperatures. Defects are able to relieve frustration that results from the asymmetry in volume fraction between domains, and the elevated temperature may contribute enough energy to stabilize a marginally larger

Figure 6. Time-dependent network properties of PS-b-PMMA (53K:54K) upon thermal annealing at 190 °C. The network evolution from (a) 2 h to (b) 6 h and finally to (c) 10 days shows that qualitatively the network is in quasi-equilibrium after 6 h. (d) The branch and end point densities as a function of time for PS-b-PMMA (53K:54K) for PS (red triangles) and PMMA (blue squares) domain indicate that the network morphology is relatively static after short annealing times. All scale bars correspond to 1 μm, and the error bars correspond to two standard deviations. 9606

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

defect population) to be tuned independently of each other. Increasing film thickness relative to L0 introduces new intermediate morphologies and may increase the driving force for defect annihilation and thus may lead to reduced defect densities. The magnitude of χN determines the interfacial bending rigidity of the lamellar domains, and a smaller χN was shown to facilitate defect annihilation in lamellar-forming block copolymers in thin films. High defect densities produce continuous lamellar networks in the majority domain even at relatively low compositional asymmetry, while low defect densities do not subdivide the minority domain and increase the statistical likelihood of discontinuities in the majority block. Taken as a whole, these results provide a framework for manipulating block copolymer lamellar morphology by varying the thin film processing parameters and the block copolymer material. These discoveries will play an important role in the selection and processing of lamellar-forming block copolymers for nanolithographic patterning applications. Researchers may independently consider the chemistry and χ of their block copolymer to vary domain continuity and persistence, the thickness relative to L0 to either inhibit or favor defect annihilation, and N to determine the size of the nanostructures. For example, the push toward block copolymer systems with high χ (e.g., with P2VP-b-PDMS40) and smaller N parameters, while enabling the self-assembly of lamellar systems with periodicities less than 10 nm, may simultaneously influence defect annihilation and defect densities in thin films. In addition, although it has been shown that defect densities are diminishingly small when chemically patterned surfaces or surface features are used to direct block copolymer assembly,18 the results presented here may serve to further lower those defect densities by elucidating routes to facilitate defect annihilation when using asymmetric block polymers or chemically modified surfaces with asymmetric patterns.



ASSOCIATED CONTENT

S Supporting Information *

Fast Fourier transforms used to determine the characteristic periodicity of each lamellar-forming block copolymer in this study. This material is available free of charge via the Internet at http://pubs.acs.org.

Figure 7. Annealing temperature dependent network properties of PSb-PMMA (53K:54K). It can be seen that thermal annealing at (a) 170 °C and (b) 230 °C for 10 days has very little effect on the network morphology. (c) The branch and end point densities as a function of annealing temperature for PS-b-PMMA (53K:54K) for PS (red triangles) and PMMA (blue squares) domains. All scale bars correspond to 1 μm, and the error bars correspond to two standard deviations.



AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]. Notes

The authors declare no competing financial interest.

population of these defects than at the lower temperatures. Based on these observations, however, annealing temperature does not enable the engineering of defect densities and morphology in PS-b-PMMA lamellar block copolymers.



ACKNOWLEDGMENTS The authors thank Chunlin He for synthesizing the random copolymer brush used to create the neutral wetting surface. This work was performed in part at the University of Colorado’s Nanomaterials Characterization Facility. This research was supported in part by the NNIN at the Colorado Nanofabrication Laboratory and the National Science Foundation under Grant ECS-0335765.



CONCLUSIONS In conclusion, the activation energy and energetic driving force for defect annihilation may specify the connectivity and continuity of lamellar block copolymer domains in thin films by dictating the defect density and defect populations. It has been shown that film thickness and the magnitude of χN play key roles in determining the density of defects while maintaining the same relative defect populations, whereas the thermal annealing time and temperature have relatively small effects on the lamellar morphology. This allows the lamellar network connectivity (defect density) and continuity (relative



REFERENCES

(1) Slota, J. E.; He, X.; Huck, W. T. S. Nano Today 2010, 5 (3), 231− 242. (2) Ren, G.; Wu, P.-T.; Jenekhe, S. A. ACS Nano 2011, 5 (1), 376− 384. 9607

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608

Macromolecules

Article

(3) Lin, Y.; Wei, Q.; Qian, G.; Yao, L.; Watkins, J. J. Macromolecules 2012, 45 (21), 8665−8673. (4) Darling, S. B. Energy Environ. Sci. 2009, 2 (12), 1266−1273. (5) Singh, M.; Odusanya, O.; Wilmes, G. M.; Eitouni, H. B.; Gomez, E. D.; Patel, A. J.; Chen, V. L.; Park, M. J.; Fragouli, P.; Iatrou, H.; Hadjichristidis, N.; Cookson, D.; Balsara, N. P. Macromolecules 2007, 40 (13), 4578−4585. (6) Panday, A.; Mullin, S.; Gomez, E. D.; Wanakule, N.; Chen, V. L.; Hexemer, A.; Pople, J.; Balsara, N. P. Macromolecules 2009, 42 (13), 4632−4637. (7) Majewski, P. W.; Gopinadhan, M.; Osuji, C. O. Soft Matter 2013, 9 (29), 7106−7116. (8) Yang, S. Y.; Park, J.; Yoon, J.; Ree, M.; Jang, S. K.; Kim, J. K. Adv. Funct. Mater. 2008, 18 (9), 1371−1377. (9) Jackson, E. A.; Hillmyer, M. A. ACS Nano 2010, 4 (7), 3548− 3553. (10) Phillip, W. A.; O’Neill, B.; Rodwogin, M.; Hillmyer, M. A.; Cussler, E. L. ACS Appl. Mater. Interfaces 2010, 2 (3), 847−853. (11) Jha, A. K.; Chen, L.; Offeman, R. D.; Balsara, N. P. J. Membr. Sci. 2011, 373 (1−2), 112−120. (12) Rose, F.; Bosworth, J. K.; Dobisz, E. A.; Ruiz, R. Nanotechnology 2011, 22 (3), 7. (13) Tang, C. B.; Wu, W.; Smilgies, D. M.; Matyjaszewski, K.; Kowalewski, T. J. Am. Chem. Soc. 2011, 133 (30), 11802−11809. (14) Jha, A. K.; Tsang, S. L.; Ozcam, A. E.; Offeman, R. D.; Balsara, N. P. J. Membr. Sci. 2012, 401, 125−131. (15) Amundson, K.; Helfand, E.; Quan, X. N.; Hudson, S. D.; Smith, S. D. Macromolecules 1994, 27 (22), 6559−6570. (16) Kim, S. O.; Kim, B. H.; Kim, K.; Koo, C. M.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H. Macromolecules 2006, 39 (16), 5466−5470. (17) Campbell, I. P.; Lau, G. J.; Feaver, J. L.; Stoykovich, M. P. Macromolecules 2012, 45 (3), 1587−1594. (18) Nagpal, U.; Muller, M.; Nealey, P. F.; de Pablo, J. J. ACS Macro Lett. 2012, 1 (3), 418−422. (19) Stoykovich, M. P.; Muller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Science 2005, 308 (5727), 1442−1446. (20) Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C. C.; de Pablo, J. J.; Mueller, M.; Nealey, P. F. ACS Nano 2007, 1 (3), 168− 175. (21) Liu, G. L.; Thomas, C. S.; Craig, G. S. W.; Nealey, P. F. Adv. Funct. Mater. 2010, 20 (8), 1251−1257. (22) Yang, J. K. W.; Jung, Y. S.; Chang, J. B.; Mickiewicz, R. A.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Nat. Nanotechnol. 2010, 5 (4), 256−260. (23) Hahm, J.; Lopes, W. A.; Jaeger, H. M.; Sibener, S. J. J. Chem. Phys. 1998, 109 (23), 10111−10114. (24) Tsarkova, L.; Horvat, A.; Krausch, G.; Zvelindovsky, A. V.; Sevink, G. J. A.; Magerle, R. Langmuir 2006, 22 (19), 8089−8095. (25) Mishra, V.; Fredrickson, G. H.; Kramer, E. J. ACS Nano 2012, 6 (3), 2629−2641. (26) Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. J. Science 1997, 275 (5305), 1458−1460. (27) Husseman, M.; Malmstrom, E. E.; McNamara, M.; Mate, M.; Mecerreyes, D.; Benoit, D. G.; Hedrick, J. L.; Mansky, P.; Huang, E.; Russell, T. P.; Hawker, C. J. Macromolecules 1999, 32 (5), 1424−1431. (28) In, I.; La, Y. H.; Park, S. M.; Nealey, P. F.; Gopalan, P. Langmuir 2006, 22 (18), 7855−7860. (29) Han, E.; Stuen, K. O.; La, Y. H.; Nealey, P. F.; Gopalan, P. Macromolecules 2008, 41 (23), 9090−9097. (30) Ji, S.; Liu, C. C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Macromolecules 2008, 41 (23), 9098−9103. (31) Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424 (6947), 411−414. (32) Schneider, C. A.; Rasband, W. S.; Eliceiri, K. W. Nat. Methods 2012, 9, 7. (33) Matsen, M. W. J. Phys.: Condens. Matter 2002, 14 (2), R21−R47.

(34) Harrison, C.; Adamson, D. H.; Cheng, Z. D.; Sebastian, J. M.; Sethuraman, S.; Huse, D. A.; Register, R. A.; Chaikin, P. M. Science 2000, 290 (5496), 1558−1560. (35) Hong, A. J.; Liu, C. C.; Wang, Y.; Kim, J.; Xiu, F. X.; Ji, S. X.; Zou, J.; Nealey, P. F.; Wang, K. L. Nano Lett. 2010, 10 (1), 224−229. (36) Takahashi, H.; Laachi, N.; Delaney, K. T.; Hur, S.-M.; Weinheimer, C. J.; Shykind, D.; Fredrickson, G. H. Macromolecules 2012, 45 (15), 6253−6265. (37) Wang, Z. G.; Safran, S. A. J. Chem. Phys. 1991, 94 (1), 679−687. (38) Safran, S. A. Adv. Phys. 1999, 48 (4), 395−448. (39) Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Macromolecules 1990, 23 (3), 890−893. (40) Ji, S. X.; Liu, C. C.; Liao, W.; Fenske, A. L.; Craig, G. S. W.; Nealey, P. F. Macromolecules 2011, 44 (11), 4291−4300.

9608

dx.doi.org/10.1021/ma401704m | Macromolecules 2013, 46, 9599−9608