Recent Advances in Atomic Layer Deposition - Chemistry of Materials

Recent Advances in Atomic Layer Deposition. Neil P. Dasgupta (Assistant Professor) ,. University of Michigan, Ann Arbor, Michigan. Han-Bo-Ram Lee (Ass...
0 downloads 0 Views 195KB Size
Editorial pubs.acs.org/cm

Recent Advances in Atomic Layer Deposition reactants to tune film properties with compositional control. The following four papers examine the surface dependence of ALD reactions and explore ways to make inert surfaces more reactive toward ALD growth. Fluoride materials are attractive for a wide range of applications, including Li-ion batteries. However, few ALD papers have been published on fluorides due to a lack of suitable precursors. Leskela and co-workers report a strategy to solve this by utilizing a halide precursor,1 TiF4, as an anion donor to deposit AlF3. Unlike other ALD processes that employ typical counter reactants such as O2, H2, and H2O, the authors used AlCl3 as the Al source and TiF4 as the counter reactant for F incorporation to form the fluoride material. In another study, a novel counter reactant was employed to deposit metallic Ti by ALD. Ti is one of the most difficult elemental materials to deposit by ALD due to the instability of pure Ti metal against oxidation. A few papers have reported Ti ALD by employing plasma sources as a counter reactant, which has potential disadvantages in fabrication cost, as well as the potential for damage on the original substrate surface. To address this challenge, Winter and co-workers adopted a highly reactive precursor from previous chemical vapor deposition (CVD) reports to demonstrate pure thermal Ti metal deposition without a plasma reactant.2 Most ALD processes are used for deposition of pure metals or binary compounds. Deposition of ternary compounds by ALD is not always straightforward, as several process parameters must be precisely controlled in three-precursor systems to obtain the desired stoichiometry. For example, GexSbyTez (GST) is a key material for phase change memory, a potential next-generation technology beyond currently used flash memory. ALD of GST would enable conformal deposition onto 3D nanostructures for memory applications. To achieve this goal, Hwang and co-workers modulated and integrated the individual Sb2Te3, Sb, and GeTe2 ALD processes, which enabled stoichiometric control of ternary GST.3 Two-dimensional (2D) materials, such as graphene and BN, have been widely studied in recent years due to their novel physical and electronic properties. Atomic layer deposition represents an essential tool to fabricate nanoscale devices that incorporate 2D materials, as it can enable precise control of heterogeneous interfaces, without damage to the underlying 2D materials. It has been reported several times that selective growth along defect sites occurs during the ALD process on graphene, due to chemical inertness of the sp2 carbon surface. Lee and co-workers performed in-depth study of the growth of ALD HfO2 on graphene, using both experimental and theoretical approaches. The authors reported the ALD surface reaction mechanisms on graphene, as well as the effects of Hf precursors on nucleation, which was supported by density functional theory simulations.4 Black phosphorus, also known as phosphorene, is another interesting 2D semiconductor. Since phosphorene is sensitive

A

tomic layer deposition (ALD) is a powerful technique for the fabrication of atomically precise coatings on a variety of surfaces, with subnanometer precision in both film thickness and composition. As a result of the self-limiting surface chemistry intrinsic to the ALD process, one can also conformally coat ultrahigh aspect ratio surfaces, including nanoporous solids and three-dimensional (3D) hierarchical structures. This degree of synthetic control makes it an ideal platform for performing fundamental investigations of nanoscale materials, as well as fabrication of complex functional coatings for a wide range of applications. The development of ALD has been heavily driven by the semiconductor industry over the past two decades as a replacement for other thin-film deposition processes that lack the necessary synthetic control, leading to its adoption for uses including high-κ gate dielectrics for transistors, diffusion barriers for metal interconnects, and high aspect-ratio memory devices. Additionally, recent progress has extended the application space of ALD into emerging areas, including energy conversion and storage, catalysis, biomedical devices, and environmental barriers. Essentially, whenever surface and/or interfacial phenomena dominate application properties at the nanoscale, ALD represents one of the most powerful approaches for both fundamental and applied research. In this Virtual Issue (http://pubs.acs.org/page/vi/advancesald.html), 31 recent publications are highlighted from Chemistry of Materials, ACS Applied Materials & Interfaces, and ACS Nano, chosen to demonstrate the breadth and depth of emerging ALD research (Table 1). Particular emphasis was placed on the novelty and impact in the research, to provide the reader with a sense of the state-of-the art in ALD research and perspectives on future directions. The first seven publications focus on growth and characterization of ALD films, including new material development and substrate-dependent phenomena. The following 11 publications describe growth of complex nanostructures, including 3D hierarchical materials, spatial patterning, and large scale manufacturing approaches. Finally, a collection of 13 publications focus on energy and catalysis applications, which is one of the largest sectors of growth of ALD research. Since ALD relies purely on surface reactions, fundamental understanding of ALD surface chemistry is an important starting point to apply ALD for a range of applications. The growth characteristics of ALD are a function of precursor chemistry, surface properties, and temperature. Accordingly, if researchers would like to develop a new ALD process, or to apply an existing ALD process to a new application, they often investigate the elementary reactions between precursors and surface species. Based on this knowledge, surface properties can be intentionally controlled through surface functionalization, as the initial growth processes ultimately influence the final film qualities. Following this approach, the first seven publications in this Virtual Issue deal with ALD growth mechanisms and characterization. The first three papers report development of new ALD processes using novel precursors and counter © 2016 American Chemical Society

Published: April 12, 2016 1943

DOI: 10.1021/acs.chemmater.6b00673 Chem. Mater. 2016, 28, 1943−1947

Chemistry of Materials

Editorial

Table 1. Selected Papers of Atomic Layer Deposition no.a

article title

authors

1 2

Atomic Layer Deposition of AlF3 Thin Films Using Halide Precursors Thermal Atomic Layer Deposition of Titanium Films Using Titanium Tetrachloride and 2-Methyl-1,4-Bis(trimethylsilyl)-2,5-Cyclohexadiene or 1,4Bis(trimethylsilyl)-1,4-Dihydropyrazine Combined Ligand Exchange and Substitution Reactions in Atomic Layer Deposition of Conformal Ge2Sb2Te5 Film for Phase Change Memory Application Nucleation and Growth of the HfO2 Dielectric Layer for Graphene-Based Devices Al2O3 on Black Phosphorus by Atomic Layer Deposition: An in Situ Interface Study Growth and Characterization of Al2O3 Atomic Layer Deposition Films on sp(2)Graphitic Carbon Substrates Using NO2/Trimethylaluminum Pretreatment

Mäntymäki, M.; et al. Klesko, J. P.; Thrush, C. M.; Winter, C. H.

Chem. Mater. 2015, 27 (2), 604−611 Chem. Mater. 2015, 27 (14), 4918−4921

Eom, T.; et al.

Chem. Mater. 2015, 27 (10), 3707−3713

Oh, I.-K.; et al.

Chem. Mater. 2015, 27 (17), 5868−5877

Zhu, H.; et al.

ACS Appl. Mater. Interfaces 2015, 7 (23), 13038−13043

Young, M. J.; Musgrave, C. B.; George, S. M. Avila, J. R.; et al.

ACS Appl. Mater. Interfaces 2015, 7 (22), 12030−12037

Minaye Hashemi, F. S.; Prasittichai, C.; Bent, S. F. Martin, M.-B.; et al.

ACS Nano 2015, 9 (9), 8710−8717

Lin, C.-H.; et al.

ACS Nano 2015, 9 (2), 1379−1387

Kim, I. S.; et al.

Chem. Mater. 2015, 27 (13), 4772−4778

Peters, A. W.; et al.

ACS Nano 2015, 9 (8), 8484−8490

Lee, S.-M.; et al.

ACS Appl. Mater. Interfaces 2014, 6 (19), 16827−16834

Oh, I.-K.; et al. Bielinski, A. R.; et al.

Chem. Mater. 2015, 27 (1), 148−156 Chem. Mater. 2015, 27 (13), 4799−4807

Wang, G.; et al.

ACS Nano 2014, 8 (5), 5330−5338

Illiberi, A.; et al.

ACS Appl. Mater. Interfaces 2015, 7 (6), 3671−3675

Mousa, M. B. M.; et al. Park, J. S.; et al.

ACS Appl. Mater. Interfaces 2015, 7 (35), 19523−19529 Chem. Mater. 2015, 27 (6), 1917−1920

Wise, A. M.; et al. Kozen, A. C.; et al.

Chem. Mater. 2015, 27 (17), 6146−6154 ACS Nano 2015, 9 (6), 5884−5892

Kazyak, E.; Wood, K. N.; Dasgupta, N. P. Yao, X.; et al.

Chem. Mater. 2015, 27 (18), 6457−6462

Kozen, A. C.; et al. Nisula, M.; et al. Ji, S.; et al.

Chem. Mater. 2015, 27 (15), 5324−5331 Chem. Mater. 2015, 27 (20), 6987−6993 ACS Appl. Mater. Interfaces 2015, 7 (5), 2998−3002

Daubert, J. S.; et al.

Chem. Mater. 2015, 27 (19), 6524−6534

Chang, C.-Y.; et al.

Chem. Mater. 2015, 27 (14), 5122−5130

McDowell, M. T.; et al. Steier, L.; et al.

ACS Appl. Mater. Interfaces 2015, 7 (28), 15189−15199

Wang, W.-N.; et al.

ACS Appl. Mater. Interfaces 2015, 7 (10), 5685−5692

3

4 5 6

7 8

9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 a

Real-Time Observation of Atomic Layer Deposition Inhibition: Metal Oxide Growth on Self-Assembled Alkanethiols Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition

Sub-Nanometer Atomic Layer Deposition for Spintronics in Magnetic Tunnel Junctions Based on Graphene Spin-Filtering Membranes Size-Tuned ZnO Nanocrucible Arrays for Magnetic Nanodot Synthesis via Atomic Layer Deposition-Assisted Block Polymer Lithography Targeted Single-Site MOF Node Modification: Trivalent Metal Loading via Atomic Layer Deposition Atomically Precise Growth of Catalytically Active Cobalt Sulfide on Flat Surfaces and within a Metal−Organic Framework via Atomic Layer Deposition In Situ Raman Spectroscopic Study of Al-Infiltrated Spider Dragline Silk under Tensile Deformation Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition Hierarchical ZnO Nanowire Growth with Tunable Orientations on Versatile Substrates Using Atomic Layer Deposition Seeding Size-Selective Catalytic Growth of Nearly 100% Pure Carbon Nanocoils with Copper Nanoparticles Produced by Atomic Layer Deposition Spatial Atmospheric Atomic Layer Deposition of InxGayZnzO for Thin Film Transistors Precise Nanoscale Surface Modification and Coating of Macroscale Objects: Open-Environment in Loco Atomic Layer Deposition on an Automobile Amorphous Metal Fluoride Passivation Coatings Prepared by Atomic Layer Deposition on LiCoO2 for Li-Ion Batteries Effect of Al2O3 Coating on Stabilizing LiNi0.4Mn0.4Co0.2O2 Cathodes Next-Generation Lithium Metal Anode Engineering via Atomic Layer Deposition Improved Cycle Life and Stability of Lithium Metal Anodes through Ultrathin Atomic Layer Deposition Surface Treatments Functionalizing Titanium Disilicide Nanonets with Cobalt Oxide and Palladium for Stable Li Oxygen Battery Operations Atomic Layer Deposition of the Solid Electrolyte LiPON Atomic Layer Deposition of Lithium Phosphorus Oxynitride Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate Effect of Meso- and Micro-Porosity in Carbon Electrodes on Atomic Layer Deposition of Pseudocapacitive V2O5 for High Performance Supercapacitors High-Performance, Air-Stable, Low-Temperature Processed Semitransparent Perovskite Solar Cells Enabled by Atomic Layer Deposition The Influence of Structure and Processing on the Behavior of TiO2 Protective Layers for Stabilization of N−Si/TiO2/Ni Photoanodes for Water Oxidation Low-Temperature Atomic Layer Deposition of Crystalline and Photoactive Ultrathin Hematite Films for Solar Water Splitting Surface Engineered CuO Nanowires with ZnO Islands for CO2 Photoreduction

citation

ACS Appl. Mater. Interfaces 2014, 6 (15), 11891−11898

ACS Nano 2014, 8 (8), 7890−7895

ACS Appl. Mater. Interfaces 2015, 7 (39), 21948−21955

ACS Nano 2015, 9 (12), 11775−11783

The superscript numbers in the text refer to the numbers given in this table.

which allow for a mechanistic understanding that can be extended to graphene.6 Graphite surfaces were functionalized using NO2/trimethylaluminum exposures to improve nucleation of ALD Al2O3, and the growth characteristics were analyzed with electrochemical impedance spectroscopy. Modification of surface functionalization can also be used to control ALD film growth. Area-selective ALD (AS-ALD) is an

to air exposure, appropriate surface passivation procedures are required for its use. To study ALD growth on these materials, Wallace and co-workers investigated the effects of phosphorus oxide surfaces on Al2O3 nucleation using in situ analyses,5 which demonstrated that the surface oxide plays a critical role to initiate the film nucleation. In another study, the George group investigated the functionalization of inert graphite surfaces, 1944

DOI: 10.1021/acs.chemmater.6b00673 Chem. Mater. 2016, 28, 1943−1947

Chemistry of Materials

Editorial

deposit cobalt sulfide (Co9S8) inside the pores of a MOF, which enabled loading of this catalytically active material onto the ultrahigh MOF internal surface area.12 This strategy is highly attractive for heterogeneous catalysis and electrocatalysis, which benefit from a significant boost in catalytically active surface area through the MOF architecture. In addition to porous templates, the conformality of ALD is extremely useful for replicating the geometric form of highaspect ratio nanostructured surfaces. This property is even applicable to nanostructured biological templates, as shown by Knez and co-workers.13 The authors used ALD to deposit Al2O3 directly onto spider silk, which also leads to infiltration of metal atoms into the silk internal volume. This absorption enhances the naturally mechanically strong silk and enables tunable bioinspired materials engineering. Also inspired by nature, Lee and co-workers demonstrated that superhydrophobic nanostructured surfaces could be formed by depositing rare-earth oxide films onto nanowire (NW) surfaces.14 These more “exotic” ALD materials, including Er2O3 and Dy2O3, were enabled through the use of novel rare-earth precursors, and the effects of thermal vs plasma treatment on the film properties and water contact angles were quantified. Beyond replicating the geometric forms of templates, another emerging concept in the ALD field is the use of the precisely tunable properties of ALD films to control subsequent bottomup growth of complex 3D nanostructures. In this case, ALD films can be used as a catalyst or “seed layer” to guide the morphology and geometry of bottom-up material growth, which is a powerful approach for hierarchical 3D nanostructuring. To demonstrate this concept, Dasgupta and coworkers showed that simply varying the thickness of ALD ZnO films could be used to tune the size, density, and angular distribution of subsequent hydrothermal growth ZnO NWs.15 The evolution in crystallographic texture of the ALD ZnO seed layers was directly correlated to the resulting NW properties. This dependence allowed for deterministic control of hierarchical 3D nanostructured NW arrays with tunable properties on a wide range of textured substrates including porous polymers, paper, and biological templates. In another study, Qin and co-workers used copper nanoparticles synthesized by ALD of CuO followed by reduction to Cu as a catalyst layer for bottom-up carbon nanocoil growth by CVD.16 Again, control of the original ALD seed layer enabled tunable morphologies of the subsequent 3D nanostructure growth, demonstrating that ALD can be used as a way to “program” bottom-up nanostructure growth. While conventional ALD processes enable unparalleled control of film growth, industrial adaptation of these advances at large scales requires novel nanomanufacturing tools and methodologies. One of the attractive approaches for increasing the throughput and scalability of ALD is to use “spatial ALD” (SALD) processes, where the ALD chemical reactions are separated in space rather than time. This selectivity enables integration with large-scale manufacturing processes such as roll-to-roll coating of flexible substrates and integration into assembly lines. Poodt and co-workers demonstrate SALD of quaternary InGaZnO (IGZO) films, which illustrates that these processes are extendable to complex film chemistries.17 By controlling precursor flow rates and exposure times, IGZO film composition and morphology could be controlled, which was explored for thin-film transistor applications. In another approach to scalable ALD, Parsons and co-workers demonstrate an ALD “delivery head” can be used to coat macroscopic

advanced method to fabricate patterns in a bottom-up approach without the need for subsequent lithography and etching. Typically, self-assembled monolayers (SAMs) are used to control inhibition or activation of deposition. Martinson and co-workers carried out in situ analysis of ALD reactions on SAM-coated surfaces with theoretical modeling. 7 They demonstrated nucleation differences depending on the SAM formation method, SAM chain length, and precursors used. In the subsequent 11 publications of this Virtual Issue, understanding of ALD surface chemistry and growth is employed to enable complex nanostructure growth, which can, in turn, permit novel applications. Bent and co-workers extended the selectivity of AS-ALD by depositing SAMs selectively on patterned copper films without attaching to the surrounding SiO2 surface.8 Self-assembled monolayers are known to block ALD growth in part, but eventually selectivity is lost due to SAM decomposition and subsequent ALD nucleation as the number of ALD cycles increases. The authors demonstrate that area-selective growth can be maintained for much thicker ALD films than for traditional AS-ALD by following the ALD process with a mild Cu etch, which selectively removes any atoms deposited on the copper regions without affecting the surrounding ALD film. In another study, Seneor and co-workers used knowledge of ALD surface chemistry on graphene surfaces to deposit ultrathin (0.6 nm) continuous tunnel barriers by using an ozone ALD process for Al2O3 growth, which enabled highquality electronic tunneling barriers for spintronic device fabrication.9 While an ultrathin ALD insulator is desirable for a tunneling barrier in magnetic tunnel junctions (MTJs), unintended oxidation of the electrode by the oxidant during the ALD process, which deteriorates the performance of the MTJ, is a significant obstacle. Usually, due to the high reactivity of O3, ALD processes using O3 easily damage the graphene layer. To address this issue, the authors carefully tuned the deposition temperature to avoid degradation of the graphene layer by the O3 counter reactant and achieved an ultrathin Al2O3 layer without significant damage to the graphene. A different approach to surface patterning is to use the conformality of ALD to coat porous substrates, which can be achieved within ultrasmall pore sizes. Gladfelter and co-workers demonstrate this concept to coat porous templates formed by block copolymer lithography,10 where the precise thickness control and conformality of ALD is used to tune the pore size down from 30 to 14 nm. This process forms a robust inorganic replica of the template, which allows for subsequent removal of the original polymer template and higher temperature processing under conditions where the polymer would not survive. The authors use this strategy to study size-dependent magnetic properties of permalloy Ni80Fe20 dots evaporated onto the ALD template. The conformality and self-limiting surface chemistry of ALD has recently been pushed to coat even smaller pores, which enabled coating the internal surfaces of metal organic frameworks (MOFs). This advance represents the ultimate in nanoporosity, where vapor-phase infiltration and coating is enabled at molecular length scales. Martinson and co-workers demonstrated this concept by functionalizing internal hydroxyl terminal groups and ligated water of a MOF with indium atoms using trimethylindium.11 The crystallinity of the MOF was maintained during growth, and the indium loading could be tuned by controlling the density of hydroxyl termination inside of the MOF. Hupp and co-workers applied this approach to 1945

DOI: 10.1021/acs.chemmater.6b00673 Chem. Mater. 2016, 28, 1943−1947

Chemistry of Materials

Editorial

objects, which was used to deposit ALD films directly onto an automobile windshield.18 This development opens up industrially relevant applications of ALD to a wider range of object sizes and forms, which could ultimately be integrated into macroscopic manufacturing assembly lines. While the preceding articles focus on more fundamental aspects of ALD chemistry including growth mechanisms and synthesis of complex structures, the final set of publications highlights recent progress in the use of ALD for energy and environmental applications. The large number of recent papers published in these fields is a direct consequence of the fact that surface and interfacial phenomena often dominate energy device behavior. Common examples include charge or mass transfer across heterogeneous interfaces, protection against undesirable surface or interfacial reactions, and the use of functional materials on surfaces. The power of ALD for atomically precise modification of these surfaces and interfaces enables deep fundamental investigations of the impact of surface chemistry, phase, and morphology on specific aspects of device performance, while also allowing for tunable engineering of operating parameters for systems-level optimization of overall energy conversion efficiency. Publications 19−25 highlight recent advances in ALD for batteries, in which interfacial processes often dominate cell performance. It has been widely recognized that ALD coatings on electrode surfaces can be used to improve the stability of electrode−electrolyte interfaces dramatically or, more specifically, the solid electrolyte interphase (SEI) region. This realization has led to an explosion of research in “SEI engineering”, where ALD coatings have demonstrated the ability to reduce degradative processes that lead to capacity fade and low Coulombic efficiencies. There is a particular need for interfacial stabilization of high voltage electrode materials, as well as an increase of attention being paid to “beyond Li-ion” battery chemistries. While the majority of coatings reported for traditional Li-ion electrodes have been simple binary oxides, Elam and coworkers report a novel process for deposition of WF6 films on LiCoO2 cathodes,19 which allows for stable cycling up to 4.4 V. The use of a fluoride rather than an oxide is proposed as potentially more stable against attack from HF, which is a byproduct of using fluoride-based salts in standard electrolytes with moisture present. George and co-workers demonstrate the application of Al2O3 coatings to LiNi0.4Mn0.4Co0.2O2 (NMC) cathodes, which enable higher voltage cell operation than traditional cathodes.20 However, these high voltages typically lead to electrolyte decomposition, which limits their use. The authors demonstrate through a combined electrochemical and synchrotron X-ray spectroscopy study that ultrathin Al2O3 films can prevent electrolyte decomposition, while still allowing transport of Li-ions across the interface. Looking beyond Li-ion chemistries, there has been increasing interest in higher capacity battery chemistries, such as Li−air or Li−S. These batteries can increase the energy density of existing batteries by a factor of 5−10. However, challenges have limited their efficiencies and lifetimes at electrode−electrolyte interfaces. For example, in both of these chemistries, the use of a Li metal anode is required, which represents an increase by a factor of ∼10 over existing graphite electrodes. However, Li metal suffers from several challenges, including instability against liquid electrolytes, poor Coulombic efficiencies, and formation of dendrites that lead to both capacity loss and safety issues. Recently two groups have demonstrated benefits of ALD

for protection of Li metal. In both cases, a glovebox-integrated ALD tool was used, which allowed for direct ALD onto Li metal surfaces without air exposure. Rubloff and co-workers deposited 14-nm-thick Al2O3 films onto Li metal surfaces and demonstrated protection against corrosion from air, sulfur, and electrolyte exposure.21 These coated electrodes were tested in Li−S batteries and demonstrated improved capacity retention compared to uncoated anodes. Dasgupta and co-workers deposited 2−3-nm-thick Al2O3 coatings on Li metal surfaces and demonstrated that coated electrodes could double the lifetime to electrode failure, both under deep discharge conditions and long-term cycling in a standard Li-ion electrolyte.22 This improved performance was correlated with suppression of Li dendrite formation, which was attributed to an improved homogeneity of Li-ion flux across the electrode− electrolyte interface. On the cathode side, Wang and co-workers demonstrated a new nanostructured cathode for Li−air batteries by replacing the traditional carbon catalyst support with TiSi2 nanonets, which are resistant to degradation under cathode operation.23 In this case, both the oxygen reduction reaction (ORR) catalyst and an interfacial adhesion layer were deposited by ALD, demonstrating the power of core−shell catalyst structures with multiple components for material optimization. Another important approach to enabling next-generation battery chemistries is the replacement of liquid electrolytes with solid electrolytes, which have the potential to improve the performance, safety, and reliability of battery systems. One of the most widely studied Li solid electrolytes is lithium phosphorus oxynitride (LIPON), which is currently used in thin-film batteries. Recently, two groups have reported ALD processes for LIPON. These quaternary processes require deep understanding of precursor chemistry and substrate-dependent reactivity. Rubloff and co-workers developed a process using plasma-enhanced ALD (PEALD) to grow LIPON and tested its electrochemical performance.24 Karppinen and co-workers reported a different process for LIPON using thermal ALD chemistry, with a novel precursor (diethyl phosphoramidate) that acts as a source of both phospohorous and nitrogen in the films.25 These materials represent a pathway that could enable all-solid state 3D batteries. Atomic layer deposition can also be beneficial for other electrochemical energy devices, including fuel cells and supercapacitors. In the case of solid oxide fuel cells, the solid electrolyte (yttria-stabilized zirconia, YSZ) conducts oxide ions. Many of the same advantages exist as described for batteries, including short transport lengths and the ability to tune electrode−electrolyte interfaces. In this issue, Cha and coworkers report a novel PEALD process for YSZ,26 which allowed for a reduction of the electrolyte thickness to 70 nm. This was deposited on porous anodic aluminum oxide (AAO) supports, demonstrating the power of ALD for conformal deposition of complex, dense, and pinhole-free films on high aspect-ratio surfaces. In another example, Parsons and coworkers coated 3D micro- and mesoporous carbon supports with ALD V2O5 films, which allowed for pseudocapacitive electrode behavior.27 The power of ALD for conformal and precise thickness control was used to optimize pore-dependent coating thicknesses. Solar applications for ALD are also being explored, both for the direct conversion of sunlight into electricity (photovoltaics, PV) and for solar-to-fuel conversion (photoelectrochemical cells). While the photovoltaic community has been dominated 1946

DOI: 10.1021/acs.chemmater.6b00673 Chem. Mater. 2016, 28, 1943−1947

Chemistry of Materials



by crystalline Si, the drive to reduce cost continues. There has been a recent explosion of research into organic−inorganic hybrid perovskite materials as solar absorbers due to their favorable optoelectronic properties. However, room for improvement exists in these materials, both for internal interfaces at the junction and in terms of air stability. Chang and co-workers recently explored the use of ALD to address both of these issues, by depositing ZnO buffer layers and Al2O3 encapsulation layers on perovskite PVs, which helped to boost both the efficiency and chemical stability of the cells.28 In the field of photoelectrochemistry (PEC), ALD has emerged as a powerful method of tuning electrode−electrolyte interfaces. In this case, ALD films typically serve two purposes: stabilization of unstable semiconductors against photocorrosion and addition of cocatalysts to reduce charge transfer overpotentials. Lewis and co-workers report that ALD TiO2 coatings of Si photoanodes can stabilize their photocurrents in alkaline electrolytes under a variety of conditions, and the coating performance can be further tuned by postannealing.29 This demonstrates that the anomalous charge transport of holes through the wide-bandgap n-type TiO2 in these materials is not purely due to an intrinsic dopant or specific crystalline structure. In another study, Grätzel and co-workers deposited phase-pure α-Fe2O3 films by ALD and tested their thicknessdependent properties as a photoanode.30 When combined with a thin ALD TiO2 layer to prevent back-contact recombination, an optimal film thickness of 10 nm was found to maximize the internal quantum efficiency of Fe2O3, demonstrating the power of ALD for both interfacial tuning and a fundamental investigation of thickness-dependent phenomena. Beyond water splitting, the quest for artificial photosynthesis requires CO2 reduction. Banerjee and co-workers demonstrate that ALD ZnO coated CuO nanowires can function as CO2 reduction photocatalysts.31 This behavior was correlated with epitaxial growth of the ALD ZnO on the CuO surface, combined with an island growth mechanism that allows exposed surfaces to drive both the oxidation and reduction half-reactions in a single photocatalyst. These examples show the power of ALD for PEC research, stabilization against corrosion, addition of surface catalysts, and formation of integrated heterogeneous photocatalysts. The editors hope that the articles presented in this Virtual Issue present a window for the reader into the state-of-the art in ALD research, as well as perspectives on emerging and future directions. Equipped with fundamental knowledge of ALD surface chemistry, over half of the periodic table in materials can now be deposited, and the complexity of ALD chemistry is continually expanding. These advances enable synthesis of complex 3D nanostructures, as well as critical advances in many fields, ranging from electronics to energy. It is anticipated that ALD will continue to expand into new fields that benefit from the combination of atomically precise synthesis and conformality.

Editorial

AUTHOR INFORMATION

Notes

Views expressed in this editorial are those of the authors and not necessarily the views of the ACS.

Neil P. Dasgupta, Assistant Professor

University of Michigan, Ann Arbor, Michigan

Han-Bo-Ram Lee, Assistant Professor

Incheon National University, Incheon, Korea

Stacey F. Bent, Associate Editor, Chemistry of Materials Paul S. Weiss, Editor-in-Chief, ACS Nano 1947

DOI: 10.1021/acs.chemmater.6b00673 Chem. Mater. 2016, 28, 1943−1947