Self-Registered Self-Assembly of Block Copolymers - ACS Publications

Jul 10, 2017 - free patterns favor the use of low density multiplication factors and thinner films ... represented by a lamellar pattern misaligned by...
0 downloads 0 Views 2MB Size
Self-Registered Self-Assembly of Block Copolymers Lei Wan,* Ricardo Ruiz, He Gao, and Thomas R. Albrecht† HGST, A Western Digital Company, San Jose Research Center, 5601 Great Oaks Parkway, San Jose, California 95119, United States S Supporting Information *

ABSTRACT: Directed self-assembly (DSA) of block copolymer (BCP) thin films, especially with density multiplication, is one of the most promising options for further improving resolution and throughput in nanolithography. However, controlling defect density has been one of the major hurdles for many DSA applications. Both thermodynamically and kinetically, defectfree patterns favor the use of low density multiplication factors and thinner films, which undermine the promise of enhanced resolution and the formation of robust masks for pattern transfer. Here, we demonstrate a self-registered self-assembly method to enable nearly perfect DSA on loosely defined chemical patterns with high density multiplication factor. Selfregistered self-assembly involves two DSA steps. In the first step, an ultrathin BCP blend film is used to obtain vanishingly low defect densities. Concurrently as the film is annealed, preloaded chemical markers separate into the different polymer blocks and graft to the substrate locking in a new chemical contrast pattern with 1:1 feature registration. After thorough removal of the blend film, the remaining self-registered chemical pattern can establish defect-free DSA of thick BCP films. KEYWORDS: directed self-assembly, block copolymer, advanced lithography, PS-b-PMMA, defectivity, thin films

D

mechanism in which a dense, chemical contrast pattern is self-registered to a first sparse pattern; in essence, adding the additional information in a self-aligned fashion before the final directed self-assembly occurs enabling high density multiplication factors that assemble with the robustness of a dense, n = 1, guiding. We demonstrate the concept of self-registered selfassembly (SRSA) using a 27 nm pitch lamellae-forming poly(styrene-b-methyl methacrylate) (PS-b-PMMA) diblock copolymer. We find that SRSA significantly reduces the defect density compared to conventional DSA, enabling nearly perfect patterns at high density multiplication factors or on loosely defined guiding patterns.

irected self-assembly (DSA) with density multiplication in block copolymer (BCP) films,1−3 in which a set of periodic, sparse patterns are used to direct the assembly of denser features, opened up a cornucopia of possibilities in lithography to reach otherwise inaccessible dimensions at the nanoscale.4−7 DSA with density multiplication functions with the basic premise that additional information can be added in a deterministic fashion to a simpler system that contains a lower level of information. The success and validity of the premise depend on the ability to find the ideal BCP that contains the additional information and, most importantly, on the ability to control the boundary conditions of the system to secure a unique and stable free energy minimum that would unequivocally result in the desired pattern. High density multiplication factors are of obvious significance as they could hold the key to enable single-digit nanometer lithography through the use of sparse guiding patterns made with conventional and diffraction or resist-limited lithography methods. As the density multiplication factor is increased from 1 to n, the depth of the free energy minimum becomes shallower,8 and the self-assembled pattern competes with other nontargeted morphologies of similar free energy and, potentially, with other kinetically trapped defects.9−11 The strongest guiding is achieved when n = 1, which, of course, defeats the purpose of the resolution gain if one had to pattern at the same density as the targeted BCP pattern. Here, we report a solution to this dilemma by demonstrating a © 2017 American Chemical Society

RESULTS AND DISCUSSION Assembly perfection in DSA is circumscribed by both thermodynamics and kinetics. Thermodynamically, obtaining a defect-free pattern requires that the perfectly guided DSA configuration amounts to a large free energy gain with respect to any other state with a finite defect density. In other words, a large negative value of ΔF = FDSA − Fds is needed, where FDSA represents the free energy per chain of the ideal configuration and Fds that of a defective state. To illustrate how ΔF is affected by the strength of the chemical contrast in the guiding pattern, Received: May 11, 2017 Accepted: July 10, 2017 Published: July 17, 2017 7666

DOI: 10.1021/acsnano.7b03284 ACS Nano 2017, 11, 7666−7673

Article

www.acsnano.org

Article

ACS Nano the density multiplication factor (n), and film thickness (t), we consider the simplified case in which a defective state is represented by a lamellar pattern misaligned by a full domain shift with respect to the ideal case. Figure 1a depicts the ideal

(more results are shown in Figure S1). The micrographs show DSA on identical chemical contrast patterns with a nonideal guiding stripe width WS = 0.89L0 (the ideal case is WS ≈ 0.5L0,12 where L0 is the BCP pitch) for two different film thicknesses. At t = 1.22L0, the pattern shows a large defect density, while the thinner film at t = 0.59L0 shows no defects. From a kinetics point of view, there is a similar tendency. Simulation studies10 reported that the time to reach defect-free patterns on sparse chemical contrast templates scales as ∼n4, eventually making it impractical to wait for perfect patterns at large n. It has also been suggested that the ordering of BCP thin films propagates from the chemical pattern upward to the top of the film18 results in faster assemblies for thinner films. Similarly, it has been found that defect annihilation is kinetically faster for thinner films.19 Thus, from thermodynamic and kinetic arguments, defect-free, rapid assembly is more probable when n = 1 or t ≪ L0. However, n = 1 stands at odds with the promise of resolution enhancement from DSA, while ultrathin films may prove unrealistic for pattern transfer due to the lack of material to form a robust etch mask. Here we propose a method of SRSA to work around the above-mentioned dilemma by exploiting the vanishingly low defect densities of ultrathin films to self-register a dense chemical contrast pattern through embedded functionalized chemical markers. Upon removal of the thin film, the chemical markers attached to the substrate form a new, dense chemical contrast template ready to support defect-free DSA of thicker films with an effective n = 1. Chemical markers were first introduced as molecular inks to transfer print a BCP pattern onto a separate substrate.20 Here we use markers to enhance the resolution and contrast of the original chemical pattern by locking-in the low defectivity inherent to ultrathin films. Figure 2 schematically illustrates the process flow of SRSA. A sparse, conventional chemical pattern composed of alternating guiding mat stripes and interspacing brush stripes is first prepared (Figure 2a). SRSA contains two DSA steps. In a first, chemical marker self-registration step, a thin blend film is cast over the sparse chemical pattern (Figure 2b,b′). The blend contains a BCP (X-b-Y) and a small fraction of endfunctionalized polymers as chemical markers (X′ and Y′). During annealing (Figure 2c,c′), the thin blend film will assemble in defect-free patterns according to the thermodynamic and kinetic arguments discussed earlier and with the additive markers segregating also into their corresponding BCP domains20 as it is commonly found in blended films.21,22 As annealing evolves, the additive markers graft to the substrate by either substituting or interpenetrating the former brush, forming a fresh brush layer of chemical contrast patterns with 1:1 registration. After annealing is completed, the blend film is thoroughly removed in solvents, which exposes a new chemical pattern of self-registered markers on the substrate (Figure 2d). In a second DSA step, a thicker BCP film is cast over the fresh chemical contrast pattern formed by the markers (Figure 2e). Because the self-registered chemical contrast pattern is denser, that is, n = 1 in case of WS ≈ 0.5L0, it is more favorable for defect-free DSA (Figure 2f). At n = 1, the film thickness is less constrained and can be tuned as needed to form a lithographically robust layer for pattern transfer (Figure 2g). Even in cases where WS ≠ 0.5L0 (e.g., WS ≈ 1.5 or 2.5L0), the density multiplied, self-registered chemical pattern forms in the region with initial backfilling brush, which still reduces the overall value of ΔF compared to conventional DSA with no markers.

Figure 1. One illustration of thermodynamics aspect in defect-free DSA. (a) Schematic illustration of DSA state and defective state. (b) Free energy gain (per chain) as a function of BCP film thickness for various density multiplication factors. Insert SEM images show PS-b-PMMA films with t = 0.67 L0 (left) and 1.22 L0 (right) self-assembled on identical chemical patterns with n = 2. Scale bar is 200 nm.

DSA and simplified defective configurations for a lamellaforming PS-b-PMMA on chemical contrast patterns consisting of cross-linked polystyrene (XPS) stripes and backfilling brush. In our simplified example, ΔF is given by12 (see Supporting Information for details) ΔF = (γPMMA, f − γPS, f − γPMMA,XPS)

Mn 2ntρNAkBT

(1)

where γx,y represents the interfacial energy between materials x and y, f is the backfilling brush, Mn is the number-average molecular weight, ρ is the polymer density, and kBT is the thermal energy. Figure 1b shows plots of ΔF vs t for various n. Assuming the substrate displays a strong chemical contrast, ΔF is inversely proportional to both t and n. Both effects have been reported when the quality of DSA was assessed for various film thickness values13,14 or density multiplication factors.15−17 Computer simulations8 have shown that defect densities would increase by a factor of ∼106 when going from n = 1 to n = 3. For other defective configurations such as when the chemical contrast pattern is ill-defined, rough or not perfectly commensurate, the trend remains the same: the probability to “heal” the template into a defect-free pattern is higher for thinner films or when n approaches 1. The lower defect density of thinner films is demonstrated in the inset SEM micrographs of Figure 1b 7667

DOI: 10.1021/acsnano.7b03284 ACS Nano 2017, 11, 7666−7673

Article

ACS Nano

Figure 2. Schematic illustration of SRSA. (a) Sparse chemical pattern with LS = nL0. (b, b′) Thin blend film containing BCP and a small fraction of chemical markers. (c, c′) First DSA, chemical marker self-registration step. (d) Self-registered chemical pattern. (e) Thick BCP film. (f) Second DSA with 1:1 registration on marker pattern. (g) Pattern transfer from thick BCP pattern.

Figure 3. Demonstration of SRSA. SEM images of (a) a conventional chemical pattern with LS = 5L0, (b) a 37 nm-thick PS-b-PMMA film selfassembled on a chemical pattern shown in panel a, (c) a 16 nm-thick PS-b-PMMA/chemical markers (10k PS−OH and 9.5k PMMA−OH) blend film self-assembled on an identical chemical pattern, (d) a self-registered chemical pattern with chemical markers left after stripping thin blend film. Only one chemical marker (17k PS−OH) is used here for better contrast. (e) A 37 nm-thick PS-b-PMMA film self-assembled on the self-registered chemical pattern obtained after stripping thin blend film shown in panel c. (f) Cr lines after pattern transfer from BCP film shown in panel e. Panels a and d were enhanced by 5% saturated pixels using ImageJ. Scale bar is 200 nm.

hydroxyl-terminated poly(styrene-r-methyl methacrylate) (PSr-PMMA−OH) brush prepared using a method described in the literature.6,23 The XPS lines have an average width WS ≈ 0.5L0 ≈ 14 nm. As a result of the low image quality of the

Figure 3 illustrates an example of SRSA of a lamellae-forming PS-b-PMMA having L0 = 27 nm on chemical patterns with n = 5. Figure 3a shows a top-down SEM image of the sparse chemical pattern composed of alternating stripes of XPS and 7668

DOI: 10.1021/acsnano.7b03284 ACS Nano 2017, 11, 7666−7673

Article

ACS Nano chemical pattern and the high n, a conventional DSA process produced a defective pattern when using a BCP film with a thickness t = 37 nm (1.37L0) (Figure 3b). On a separate, but identical chemical pattern, a blend film with t = 16 nm (0.59L0) formed a defect-free pattern after being annealed at 260 °C for 1 h (Figure 3c). The blended film is composed of 90 wt % (weight/weight percentage) PS-b-PMMA, 5 wt % PS−OH with Mn = 10 kg/mol (10k PS−OH), and 5 wt % PMMA−OH with Mn = 9.5 kg/mol (9.5k PMMA−OH). Figure 3d shows one example of a self-registered chemical pattern after removal of the blend film. To visualize the grafted chemical markers under SEM, we prepared a thin blend with only one type of marker (17k PS−OH). After DSA and blend film removal, we observed traces of additional line patterns in the interspatial areas between XPS stripes, roughly at positions where the PS blocks had assembled. We attribute the origin of those trace patterns to the 17k PS−OH markers that segregated into PS blocks and grafted to the substrate, forming a 1:1 chemical pattern along with the XPS stripes. The images in Figure 3a and d were enhanced with a high-pass filter to facilitate identification of the XPS and grafted marker pattern, respectively. A film of pure PSb-PMMA was then cast on the self-registered chemical pattern (10k PS−OH and 9.5k PMMA−OH were used as markers) and annealed. The top-down SEM image (Figure 3e) shows that a defect-free DSA was obtained for a BCP film with the same thickness as that of the film shown in Figure 3b. After the PMMA block was selectively removed under oxygen reactive ion etching (O2 RIE), the BCP film could be readily transferred to Cr lines using a Cr/SOG method as described previously6 (Figure 3f). We verified the change of surface chemistry during the first DSA step of SRSA by measuring water contact angles on the initial PS-r-PMMA−OH brush before and after grafting additional PS−OH markers. The initial PS-r-PMMA−OH brush was first grafted on a silicon substrate. The substrate was then coated with a binary blend consisting of a nonfunctional PS (Mn = 25 kg/mol, 90 wt %) and a PS−OH with either Mn = 17 or 1.2 kg/mol (17k PS−OH or 1.2k PS− OH, 10 wt %). The samples were then annealed at 270 °C for various times from 1 min to 90 h to mimic the PS block segment during the first DSA step of SRSA. After annealing, we dissolved the films in NMP and measured water contact angle of the substrates. The contact angle of the initial PS-r-PMMA− OH brush was 77.9 ± 1.4°. When the short marker, 1.2k PS− OH, was used, the contact angle remained almost constant with annealing time up to 145 min (Figure 4a). By contrast, when the longer marker, 17k PS−OH, was used, an increase in contact angle was observed after 1 min of annealing time. The contact angle reached a maximum of ∼86.0 ± 1.1° after 30 min, which compares to that of pure PS (∼89°). We further increased the annealing time to ∼90 h, after which the contact angles were 81.3 ± 1.0° and 88.9 ± 1.2° for samples using 1.2k PS−OH and 17k PS−OH, respectively. Although 1.2k PS−OH is ∼3 nm shorter than the PS-r-PMMA−OH brush (Table S1), an increase in contact angle was still observed, which suggestsed brush substitution. We further tested the substitution hypothesis by annealing a film of pure 1.2k PS−OH on a silicon substrate pregrafted by 30k PMMA−OH brush. After being annealed at 270 °C for ∼15 h, the contact angle of the substrate, 82.3 ± 1.1°, was much greater than that of a 30k PMMA−OH film, 63.9 ± 0.8°. Since the 1.2k PS−OH was much shorter than the pregrafted brush, a nearly complete replacement is required to change the surface chemistry. While

Figure 4. Demonstration of brush substitution and masking during marker loading. (a) Plot of water contact angles vs annealing time showing the additive brush grafting. (b) C 1s ESCA spectra of PS− OH brush, PMMA−OH brush, and PMMA−OH brush with additive PS−OH marker.

brush substitution can transform the surface chemistry, it is a much slower mechanism than brush masking by a longer marker since the latter can be accomplished by grafting on available sites or by a partial substitution only. Under the same grafting conditions, we observed larger changes in water contact angle when using longer polymer markers (Figure S2). Thus, it is important to choose markers (or at least one marker) with larger molecular weight than the original brush so that selfregistration can occur within fast annealing times (Table S3 and Figure S4). Brush masking and substitution was also confirmed by electron spectroscopy for chemical analysis (ESCA) measurements. On silicon substrates pregrafted with 9.5k PMMA−OH brush, we coated thin films of a binary blend consisting of 90 wt % nonfunctional 25k PS and 10 wt % 17k PS−OH. After being annealed at 270 °C for various times, we sonicated the films in NMP and measured the surface chemical compositions of the remaining brush by using a Phi Quantum 2000 ESCA system at the standard 45-degree electron takeoff angle. Figure 4b shows the C 1s spectra with fitted peaks for the sample annealed for 5 min and reference samples grafted either with 9.5k PMMA− OH or 17k PS−OH only. Coexistence of the representative peaks24 of PS (π−π* shakeup peak at 293 eV) and PMMA 7669

DOI: 10.1021/acsnano.7b03284 ACS Nano 2017, 11, 7666−7673

Article

ACS Nano (carbon in ester group at 290.75 eV) proves additive PS−OH grafting after 5 min annealing. Quantitative analysis of the surface composition was conducted by computing the spectra area ratio between PS and PMMA after subtraction of PMMA and PS peaks, respectively. The styrene ratio is above 70 mol % (molar percentage) after 2 min annealing and increases to a maximum of ∼82 mol % after 30 min annealing (Table S2). In a previous study,25 Liu et al. demonstrated insertion of PMMA−OH on a silicon substrate grafted with PS−OH. In comparison, we observed significantly more brush insertion and brush replacement due to the much higher processing temperature than theirs (160 °C). We tested the thermodynamical stability of SRSA by assembling ultrathick films on the self-registered chemical patterns. According to eq 1, when n = 1, a large free energy gain can still be attained even with very thick films, so if the selfregistered chemical patterns are akin to n = 1 templates, they should be able to sustain defect-free DSA of very thick films. We first generated a series of chemical patterns with LS = 3, 4, 5 and 6L0, all with WS ≈ 0.5L0. A ternary blend consisting of 90 wt % PS-b-PMMA, 5 wt % 17k PS−OH, and 5 wt % 21k PMMA−OH was coated with thickness of 0.59L0 on the chemical patterns and annealed at 260 °C for ∼16 h. The slightly longer markers used here were chosen with the intention to increase the chemical contrast through brush masking as explained above. After thorough removal of the blend film, an ultrathick BCP film with thickness of ∼360 nm (∼13.5L0) was applied on the self-registered chemical pattern and annealed at 270 °C for ∼1 h. A nearly defect-free pattern can still be achieved even with six-times density multiplication (Figure 5a, Figure S5). The cross-sectional SEM image (Figure 5b) shows that the lamellae are perpendicular throughout the film (the bright mesh of polymer residue and bridging points are artifacts produced during sample cleaving and partial O2

RIE). Defect-free patterns were observed over large areas, except for a few dislocation defects detected through Moiré interference patterns in low magnification SEM images (Figure 5c). Using conventional DSA, we observed a large defect density for BCP film thickness of 1.8L0 and above even on chemical patterns with LS = 2L0. With SRSA, ∼13.5L0-thick BCP films on chemical patterns still show long-range ordering regardless of the initial density multiplication factor. The results are explained through the thermodynamic advantage afforded by the 1:1 guiding provided by the self-registered chemical patterns.8 A recent comprehensive study has shown that refining the quality of the chemical patterns is an important key to reduce defect density.26,27 However, considering the limitations of 193 nm immersion lithography and the continuous scaling toward ever-decreasing pitch values, improving the quality of the guiding pattern for higher n becomes terribly challenging with little to be gained since improving the quality of the guiding pattern benefits only the parentheses term of eq 1, while n displays a reciprocal relation to ΔF. SRSA brings two important advantages to DSA for lithographic applications: the vanishingly low defect density and the added tolerance in the image quality specifications of the original sparse pattern. As an example of the wider processing window of SRSA, we demonstrate that defect-free patterns are still obtained for large variations in WS. A series of chemical patterns with LS = 5L0 and WS in the range of ∼0.4−3.4L0 was prepared as before. On identical chemical patterns, we prepared BCP films with a thickness ∼37 nm (1.37L0) through either conventional DSA or SRSA (as shown in Figure S6−S8). The results are summarized in Figure 6a, where we rated the DSA quality with colors of green (nearly perfect), yellow (mildly defective), and purple (seriously defective) (Figure S9). Using conventional DSA, we can only obtain “yellow” ratings when WS is very close to 0.5L0 or 1.5L0. While nearly perfect patterns might be possible in conventional DSA through improvements in roughness and size commensurability of the chemical patterns, we aimed here at evaluating the ability of SRSA to correct for small imperfections compared to traditional DSA. Using identical chemical patterns, SRSA resulted in nearly perfect DSA with 0.45L0 < WS < 0.9L0, 1.3L0 < WS < 1.8L0, and 2.3L0 < WS < 3.0L0. In comparison to conventional DSA, a significant improvement in processing window was achieved. On chemical patterns with WS ≈ 2.5L0 (Figure 6b), Figure 6c and d show representative defective BCP pattern obtained by conventional DSA and nearly perfect pattern by SRSA, respectively. The latter pattern was further transferred into Cr lines (Figure 6e). The 3σ values28,29 of the line width, position, and edge roughness (3σw, 3σp, 3σe, respectively) of the Cr lines and those obtained from BCP films on chemical patterns with WS = 0.56L0 and 1.54L0 (Figure S10) are listed in the table (Figure 6f). 3σw, a property of the BCP, remains almost constant, whereas an improvement in line edge and line position roughness is observed as the width of the guiding stripes increases. This improvement may possibly originate from smoother position roughness in the chemical contrast patterns with wider WS where the lines tend to be smoother when the critical dimension is larger. In conventional DSA without SRSA, the optimum WS/L0 value is ∼0.5. Good alignment has also been obtained while WS/L0 ≈ 1.5; however, simulation results suggest the formation of intricate 3D structures that may prevent subsequent pattern transfer.12 Using SRSA, we can readily transfer BCP patterns generated from chemical patterns with WS/L0 of ∼0.5, ∼1.5,

Figure 5. SRSA of ultrathick BCP films. (a) Top-down, (b) crosssectional, and (c) moiré SEM images of an ultrathick (360 nm, ∼13.5 L0) PS-b-PMMA film self-assembled on a sparse chemical pattern with n = 6 using SRSA. Scale bars are 400 nm. 7670

DOI: 10.1021/acsnano.7b03284 ACS Nano 2017, 11, 7666−7673

Article

ACS Nano

multiplication factors. These conditions conflict with the promise of high resolution via DSA and with the need of robust masks for pattern transfer. SRSA offers a two-step solution to achieve defect-free patterns with high density multiplication while providing a robust image for pattern transfer. In a first DSA step, ultrathin BCP/chemical markers blend films are applied to obtain DSA perfection on loosely defined chemical patterns. Meanwhile, the preloaded chemical markers will phase separate into the BCP blocks and graft to or modify the initial chemical patterns generating a new chemical pattern with the same periodicity as the BCP effectively reducing the density multiplication to n = 1 on which thicker and defect-free patterns can easily be achieved. We anticipate that the experiments here could be extended to other morphologies and other BCP materials.

METHODS Poly(styrene-b-methyl methacrylate) (PS-b-PMMA) (Mn = 25-b-26 kg/mol, polydispersity index (PDI) = 1.06), hydroxyl terminated polystyrene (PS−OH, Mn = 1.2, 6, 10, 17 kg/mol), hydroxyl terminated poly(methyl methacrylate) (PMMA−OH, Mn = 6.5, 9.5, 21, 30 kg/mol), and polystyrene (Mn = 25 kg/mol) were purchased from Polymer Source Inc. and were dissolved in toluene. The crosslinkable polystyrene and hydroxyl terminated poly(styrene-r-methyl methacrylate) (PS-r-PMMA−OH) were received as solutions in propylene glycol methyl ether acetate (PGMEA) from EMD Performance Materials CORP. ZEP520A e-beam resist and developer ZED-N50 were from Zeon CORP. Spin-on-glass (SOG) solution was from Futurrex Inc. Self-Registered Self-Assembly (SRSA). The cross-linkable PS solution was spin-coated on silicon wafers and annealed at 250 °C for 2 h (or at 300 °C for 5 min) under vacuum, which resulted in a crosslinked PS (XPS) layer with thickness of ∼7−8 nm. A 50 nm-thick ZEP520A e-beam resist film was deposited onto the silicon substrates and baked at 170 °C for 5 min. The resist patterns were exposed by a rotary stage e-beam lithography system (Elionix EBW7000C) with an acceleration voltage of 100 kV. The exposed resist patterns were developed with ZED-N50 and rinsed with IPA. The resist patterns were trimmed to target width and transferred to the XPS layer by a timed 10 W O2 RIE. After removal of the resist with N-methyl-2pyrrolidone (NMP), a ∼20 nm-thick film of PS-r-PMMA−OH with 50 mol % of styrene content was spin-coated onto the patterned substrate. The substrate was then annealed at 200 °C for 30 min to graft the brush into the regions between the XPS stripes. Excess PS-rPMMA−OH was removed by sonication in NMP to yield chemical patterns of alternating XPS stripes and PS-r-PMMA−OH stripes. Thin blend films were coated on the chemical patterns and annealed at 250−270 °C for various times. The thin blend films were then removed by sonication in NMP for 5 min three times. Thick films of pure BCP were then coated and annealed at 250−270 °C for 5 min to 16 h. BCP Pattern Transfer Using Cr/SOG Process. After the DSA patterns were formed, the PMMA block was selectively removed by an anisotropic O2 RIE process. Then a thin layer of Cr (∼3−5 nm in thickness) was deposited on the remaining PS lines from a normal incidence by e-beam evaporation (Kurt J. Lesker). The patterns were then planarized by spin-coating a spin-on-glass (SOG) layer. CHF3/O2 RIE was then carried out to etch back the SOG to reveal the Cr layer above the PS lines. Cl2/O2 and O2 RIE were subsequently used to etch the revealed Cr layer on top of the PS lines and the PS lines, respectively. Characterizations. A Zeiss Ultra55 field-emission scanning electron microscopy (SEM) was used to image the samples using 1 or 5 kV acceleration voltage. Representative images typically were taken at magnifications of 14K (for Moiré images), 50K, or 140K (for top-down SEM images). The ultrathick BCP films for cross-sectional SEM images were prepared by first cleaving the sample in liquid nitrogen and sputter depositing thin Ru layer. A Bruker Dimension

Figure 6. Increased tolerance against variation in the quality of the guiding patterns. (a) Summary of results from conventional DSA and SRSA on a series of chemical patterns with LS = 5L0, and various WS of 0.4−3.4L0. (b) E-beam resist pattern after O2 RIE with LS = 135 nm and WS = 68.9 nm (2.55L0). Self-assembled BCP films with a thickness of 37 nm on the chemical patterns represented by panel b are either obtained by (c) conventional DSA or by (d) SRSA. (e) BCP films obtained by SRSA were further developed and transferred to Cr lines. (f) 3σp, 3σw, and 3σe of Cr lines obtained from BCP films assembled on chemical patterns with WS = 0.56, 1.54, and 2.55L0. Scale bar is 200 nm.

and ∼2.5, which suggest that perpendicular lamellae form on top of the guiding stripes. Besides the large free energy gain from the thinner films, it is also possible that the oxidized sidewalls of the XPS have a positive impact on the quality of DSA.30,31 Sidewall guiding effects tend to vanish when the BCP film is much thicker than the sidewall depth.32

CONCLUSIONS In summary, we demonstrated SRSA as a promising path to achieve nearly perfect BCP patterns on loosely defined chemical patterns with high density multiplication factor. Thermodynamically and kinetically, defect-free DSA configurations are favored for thinner films or for lower density 7671

DOI: 10.1021/acsnano.7b03284 ACS Nano 2017, 11, 7666−7673

Article

ACS Nano Icon atomic force microscopy (AFM) was used to measure brush thickness. The polymer brush films were scratched by plastic tweezers and then imaged using tapping mode. Water contact angle data were obtained using a VCA optima contact angle measurement system. Surface chemical compositions of the films were measured by X-ray photoelectron spectroscopy using a Phi Quantum 2000 Electron spectroscopy for chemical analysis (ESCA) system at the standard 45degree electron takeoff angle.

(8) Ginzburg, V. V.; Weinhold, J. D.; Hustad, P. D.; Trefonas, P., III Modeling Chemoepitaxy of Block Copolymer Thin Films Using SelfConsistent Field Theory. J. Photopolym. Sci. Technol. 2013, 26, 817− 823. (9) Nagpal, U.; Muller, M.; Nealey, P. F.; de Pablo, J. J. Free Energy of Defects in Ordered Assemblied of Block Copolymer Domains. ACS Macro Lett. 2012, 1, 418. (10) Xie, N.; Li, W.; Zhang, H.; Qiu, F.; Shi, A. C. Kinetics of Lamellar Formation on Sparsely Stripped Patterns. J. Chem. Phys. 2013, 139, 194903. (11) Hur, S.-M.; Thapar, V.; Ramírez-Hernández, A.; Khaira, G.; Segal-Peretz, T.; Rincon-Delgadillo, P. A.; Li, W.; Müller, M.; Nealey, P. F.; de Pablo, J. J. Molecular Pathways for Defect Annihilation in Directed Self-Assembly. Proc. Natl. Acad. Sci. U. S. A. 2015, 112, 14144−14149. (12) Liu, C. C.; Ramirez-Hernandez, A.; Han, E.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Kang, H. M.; Ji, S. X.; Gopalan, P.; de Pablo, J. J.; Nealey, P. F. Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features. Macromolecules 2013, 46, 1415−1424. (13) Welander, A. M.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Nealey, P. F. Directed Assembly of Block Copolymers in Thin to Thick Films. Macromolecules 2013, 46, 3915−3921. (14) Park, S. M.; Craig, G. S. W.; Liu, C. C.; La, Y. H.; Ferrier, N. J.; Nealey, P. F. Characterization of Cylinder-Forming Block Copolymers Directed to Assemble on Spotted Chemical Patterns. Macromolecules 2008, 41, 9118−9123. (15) Tada, Y.; Akasaka, S.; Yoshida, H.; Hasegawa, H.; Dobisz, E.; Kercher, D.; Takenaka, M. Directed Self-Assembly of Diblock Copolymer Thin Films on Chemically-Patterned Substrates for Defect-Free Nano-Patterning. Macromolecules 2008, 41, 9267−9276. (16) Kang, H.; Detcheverry, F.; Stuen, K. O.; Craig, G. S. W.; de Pablo, J. J.; Gopalan, P.; Nealey, P. F. Shape Control and Density Multiplication of Cylinder-Forming Ternary Block CopolymerHomopolymer Blend Thin Films on Chemical Patterns. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2010, 28, C6B24. (17) Wan, L.; Yang, X. Directed Self-Assembly of Cylinder-Forming Block Copolymers: Prepatterning Effect on Pattern Quality and Density Multiplication Factor. Langmuir 2009, 25, 12408−12413. (18) Edwards, E. W.; Stoykovich, M. P.; Muller, M.; Solak, H. H.; De Pablo, J. J.; Nealey, P. F. Mechanism and Kinetics of Ordering in Diblock Copolymer Thin Films on Chemically Nanopatterned Substrates. J. Polym. Sci., Part B: Polym. Phys. 2005, 43, 3444−3459. (19) Ruiz, R.; Sandstrom, R. L.; Black, C. T. Induced Orientational Order in Symmetric Diblock Copolymer Thin Films. Adv. Mater. 2007, 19, 587−591. (20) Ji, S. X.; Liu, C. C.; Liu, G. L.; Nealey, P. F. Molecular Transfer Printing Using Block Copolymers. ACS Nano 2010, 4, 599−609. (21) Stoykovich, M. P.; Muller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures. Science 2005, 308, 1442−1446. (22) Liu, G. L.; Stoykovich, M. P.; Ji, S. X.; Stuen, K. O.; Craig, G. S. W.; Nealey, P. F. Phase Behavior and Dimensional Scaling of Symmetric Block Copolymer-Homopolymer Ternary Blends in Thin Films. Macromolecules 2009, 42, 3063−3072. (23) Liu, C. C.; Han, E.; Onses, M. S.; Thode, C. J.; Ji, S. X.; Gopalan, P.; Nealey, P. F. Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats. Macromolecules 2011, 44, 1876−1885. (24) Ton-That, C.; Shard, A. G.; Daley, R.; Bradley, R. H. Effects of Annealing on The Surface Composition and Morphology of PS/ PMMA Blend. Macromolecules 2000, 33, 8453−8459. (25) Liu, G. L.; Ji, S. X.; Stuen, K. O.; Craig, G. S. W.; Nealey, P. F.; Himpsel, F. J. Modification of a Polystyrene Brush layer by Insertion of Poly(methyl methacrylate) Molecules. J. Vac. Sci. Technol., B 2009, 27, 3038−3042.

ASSOCIATED CONTENT S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsnano.7b03284. Additional details and figures (PDF)

AUTHOR INFORMATION Corresponding Author

*E-mail: [email protected]. ORCID

Lei Wan: 0000-0001-6805-2155 Ricardo Ruiz: 0000-0002-1698-4281 Present Address †

Molecular Vista, Inc., 6840 Via del Oro, Suite 110, San Jose, California 95119, United States. Notes

The authors declare no competing financial interest.

ACKNOWLEDGMENTS We thank EMD performance materials corp. for providing us NLD128 (XPS mat) and NLD127 (PS-r-PMMA−OH brush). We thank X. Guo for ESCA experiments. This research was supported by HGST, A Western Digital Company. Patents related to this work have been filed and issued (US9129812 B2 and US9230820 B2). REFERENCES (1) Ruiz, R.; Kang, H. M.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly. Science 2008, 321, 936−939. (2) Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H. C.; Hinsberg, W. D. Dense Self-Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers. Adv. Mater. 2008, 20, 3155−3158. (3) Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.; Berggren, K. K. Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates. Science 2008, 321, 939−943. (4) Bencher, C.; Smith, J.; Miao, L.; Cai, C.; Chen, Y.; Cheng, J. Y.; Sanders, D. P.; Tjio, M.; Truong, H. D.; Holmes, S.; Hinsberg, W. D. Self-Assembly Patterning for Sub-15nm Half-Pitch: A Transition from Lab to Fab. Proc. SPIE 2011, 7970, 79700F. (5) Tsai, H.; Pitera, J. W.; Miyazoe, H.; Bangsaruntip, S.; Engelmann, S. U.; Liu, C.-C.; Cheng, J. Y.; Bucchignano, J. J.; Klaus, D. P.; Joseph, E. A.; Sanders, D. P.; Colburn, M. E.; Guillorn, M. A. TwoDimensional Pattern Formation Using Graphoepitaxy of PS-b-PMMA Block Copolymers for Advanced FinFET Device and Circuit Fabrication. ACS Nano 2014, 8, 5227−5232. (6) Wan, L.; Ruiz, R.; Gao, H.; Patel, K. C.; Albrecht, T. R.; Yin, J.; Kim, J.; Cao, Y.; Lin, G. The Limits of Lamellae-Forming PS-b-PMMA Block Copolymers for Lithography. ACS Nano 2015, 9, 7506−7514. (7) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Macroscopic 10-Terabit-per-Square-Inch Arrays from Block Copolymers with Lateral Order. Science 2009, 323, 1030−1033. 7672

DOI: 10.1021/acsnano.7b03284 ACS Nano 2017, 11, 7666−7673

Article

ACS Nano (26) Rincon Delgadillo, P.; Harukawa, R.; Suri, M.; Durant, S.; Cross, A.; Nagaswami, V. R.; Van Den Heuvel, D.; Gronheid, R.; Nealey, P. Defect Source Analysis of Directed Self-Assembly Process (DSA of DSA). Proc. SPIE 2013, 8680, 86800L. (27) Gronheid, R.; Singh, A.; Doise, J.; Boeckx, C.; Pathangi, H.; Delgadillo, P. R.; Bekaert, J.; Teikchan, B.; Karageorgos, I.; Ryckaert, J.; Vandenberghe, G. Opportunities and Challenges for DSA in Logic and Memory. In SPIE-Advanced Lithography; San Jose Convention Center: San Jose, CA, 2016. (28) Albrecht, T. R.; Arora, H.; Ayanoor-Vitikkate, V.; Beaujour, J.; Bedau, D.; Berman, D.; Bogdanov, A. L.; Chapuis, Y.; Cushen, J.; Dobisz, E. E.; Doerk, G.; Gao, H.; Grobis, M.; Gurney, B.; Hanson, W.; Hellwig, O.; Hirano, T.; Jubert, P.; Kercher, D.; Lille, J.; et al. BitPatterned Magnetic Recording: Theory, Media Fabrication, and Recording Performance. IEEE Trans. Magn. 2015, 51, 1−42. (29) Ruiz, R.; Wan, L.; Lopez, R.; Albrecht, T. R. Line Roughness in Lamellae-Forming Block Copolymer Films. Macromolecules 2017, 50, 1037−1046. (30) Cushen, J.; Wan, L.; Blachut, G.; Maher, M. J.; Albrecht, T. R.; Ellison, C. J.; Willson, C. G.; Ruiz, R. Double-Patterned Sidewall Directed Self-Assembly and Pattern Transfer of Sub-10 nm PTMSS-bPMOST. ACS Appl. Mater. Interfaces 2015, 7, 13476−13483. (31) Williamson, L. D.; Seidel, R. N.; Chen, X.; Suh, H. S.; Rincon Delgadillo, P.; Gronheid, R.; Nealey, P. F. Three-Tone Chemical Patterns for Block Copolymer Directed Self-Assembly. ACS Appl. Mater. Interfaces 2016, 8, 2704−2712. (32) Choi, J.; Huh, J.; Carter, K. R.; Russell, T. P. Directed SelfAssembly of Block Copolymer Thin Films Using Minimal Topographic Patterns. ACS Nano 2016, 10, 7915−7925.

7673

DOI: 10.1021/acsnano.7b03284 ACS Nano 2017, 11, 7666−7673