Supercritical Fluid Atomic Layer Deposition: Base ... - ACS Publications

Jun 24, 2016 - of SiCl4, tetraethyl orthosilicate (TEOS) precursors, and water on nonporous silica powder using supercritical CO2 (sc-CO2) as the solv...
0 downloads 0 Views 2MB Size
Article pubs.acs.org/Langmuir

Supercritical Fluid Atomic Layer Deposition: Base-Catalyzed Deposition of SiO2 Roghi E. Kalan,† Benjamin A. McCool,‡ and Carl P. Tripp*,†,‡ †

Department of Chemistry, University of Maine, Orono, Maine 04469, United States Laboratory for Surface Science and Technology, University of Maine, Orono, Maine 04469, United States



Downloaded via NAGOYA UNIV on June 23, 2018 at 08:04:19 (UTC). See https://pubs.acs.org/sharingguidelines for options on how to legitimately share published articles.

S Supporting Information *

ABSTRACT: An in situ FTIR thin film technique was used to study the sequential atomic layer deposition (ALD) reactions of SiCl4, tetraethyl orthosilicate (TEOS) precursors, and water on nonporous silica powder using supercritical CO2 (sc-CO2) as the solvent. The IR work on nonporous powders was used to identify the reaction sequence for using a sc-CO2-based ALD to tune the pore size of a mesoporous silica. The IR studies showed that only trace adsorption of SiCl4 occurred on the silica, and this was due to the desiccating power of sc-CO2 to remove the adsorbed water from the surface. This was overcome by employing a three-step reaction scheme involving a first step of adsorption of triethylamine (TEA), followed by SiCl4 and then H2O. For TEOS, a three-step reaction sequence using TEA, TEOS, and then water offered no advantage, as the TEOS simply displaced the TEA from the silica surface. A two-step reaction involving the addition of TEOS followed by H2O in a second step did lead to silica film growth. However, higher growth rates were obtained when using a mixture of TEOS/TEA in the first step. The hydrolysis of the adsorbed TEOS was also much slower than that of the adsorbed SiCl4, and this was overcome by using a mixture of water/TEA during the second step. While the three-step process with SiCl4 showed a higher linear growth rate than obtained with two-step process using TEOS/TEA, its use was not practical, as the HCl generated led to corrosion of our sc-CO2 delivery system. However, when applying the two-step ALD reaction using TEOS on an MCM-41 powder, a 0.21 nm decrease in pore diameter was obtained after the first ALD cycle whereas further ALD cycles did not lead to further pore size reduction. This was attributed to the difficulty in removal of the H2O in the pores after the first cycle. The most common approach for growing ALD silica films involves the use of silicon-based precursors such as SiCl4 or its alkoxy counterpart, Si(OCH2CH3)4 (TEOS) in one cycle, and water in a second cycle.15−18 In growing an ALD SiO2 coating on a silica substrate, sc-CO2 had additional attractive properties. In any surface reaction, the solvent should be benign, not reacting with the adsorbate or interfering with the reaction of the adsorbate with surface sites. For most silanating agents, such as TEOS and SiCl4 used in this work, there is no reaction of the reagents with sc-CO2. However, for some silanating agents, such as aminopropyltriethoxysilane, the sc-CO2 reacts with the amine functional group of the silane, forming an insoluble carbamate derivative. With respect to a silica surface, sc-CO2 interacts through weak van der Waals forces with the isolated SiOH groups and thus does not impede reaction of silanating agents with these sites.19,20 This is not the case for metal oxide surfaces. For example, sc-CO2 forms carbonato species on the surface of metal oxides, such as titania and

1. INTRODUCTION Atomic layer deposition (ALD) has found widespread interest as a film growth technique because of the demonstrated high level control in thickness and conformality of the resulting films.1−4 While ALD has been used mainly to grow films on flat or low surface area materials, there has been an increased emphasis to extend ALD to control film growth on powders and porous materials.5−8 However, surface modification and ALD growth are hampered by the diffusion of reagents into the porous powders and by uncontrolled levels of polymerization of the ALD reagents in the water-filled pores.9,10 Modification of silica substrates using supercritical CO2 (sc-CO2) as a solvent could overcome this limitation.11−13 Sc-CO2 exhibits properties of high diffusivity and low surface tension and has facilitated delivery of ALD precursors to regions in silica powder not accessible by conventional vapor-based protocols.10−14 Sc-CO2 is also a desiccant for silica.16 While silica powders are used as a drying agent for most organic solvents, sc-CO2 is, in effect, a drying agent for silica. It has the ability to remove the adsorbed water layer on silica and hence could provide a route to control the level of polymerization of reagents. Sc-CO2 also differs from conventional solution methods in that there are no capillary forces to exert pore collapse and crumbling.9,10 © 2016 American Chemical Society

Received: May 1, 2016 Revised: June 23, 2016 Published: June 24, 2016 7170

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir

activation barrier of SiCl4 half reaction in the rate-limiting step of the ALD process.28 Similar results were obtained for aminocontaining silanes such as bis(tert-butylamino)silane29 and aminopropyldimethylsilane30 in which the amine functional group leads to direct reaction of the silane with silica, eliminating the need for a base catalyst. While the reaction steps for a two-step31 or three-step16 process in the gas phase are established, extending these to scCO2 may be less straightforward. For example, there are differences between alkoxysilanes and their chlorosilane counterparts when performing the amine-catalyzed reaction.32 The addition of chlorosilanes in a second step does not displace H-bonded amines from the silica surface whereas they are easily displaced by di- and trialkoxysilanes. Thus, it is not clear that the same two- or three-step ALD process in sc-CO2 would apply equally for TEOS or SiCl4. Furthermore, protoamines such as propylamine are more effective amine catalysts for alkoxysilanes in the gas phase,27,32 but these react with CO2, forming insoluble carbamates.20 There are also questions on how best to accomplish the hydrolysis with water, given the low solubility of water in sc-CO233,34 and the known desiccating property of sc-CO2.19 In this study, we have addressed these questions and studied the experimental variables for ALD growth of silica in sc-CO2. Specifically, IR spectra were recorded during each step of the ALD reaction of SiCl4 and TEOS on silica in sc-CO2 under various conditions (e.g., with and without amine catalysts, twostep vs three-step). The purpose was to use infrared spectroscopy to identify the chemical reactions occurring on the surface and thus to determine the effectiveness or need for an amine catalyst, and the hydroxylation/condensation behavior of water dispersed in sc-CO2 for the ALD silica film growth on a silica substrate. The approach uses a nonporous fumed silica, as this enables a thin film IR technique which provides access to the low frequency region containing Si−O− Si, Si−O−C, and Si−Cl bands.35,36 There are studies in which gas-phase ALD has been used to reduce the pore size in porous silica materials.37−39 Fodor et al.40 reported a 0.5 nm reduction in the pore size after a 36 h deposition time at 200 °C when helium gas saturated with TEOS was used in the first step, followed by the addition of water in helium in the second step. It has been reported that the pore size distribution (PSD) became significantly broader compared to the untreated mesoporous silica. On the other hand, sc-CO2 has not been used in any ALD process to grow a SiO2 layer on the inner wall of mesoporous materials to shrink the pore size. However, sc-CO2 has been used to react functionalized alkoxysilanes with mesoporous silica11,19 for the purpose of altering the reactivity or stability of the material. The aim of this study is to establish the reaction conditions that would form the basis of using sc-CO2 in the ALD growth of silica layers for the pore-size tuning of mesoporous materials.

alumina, which poison these surface sites and reduce the level of reaction with silanes.21 Controlling the amount of adsorbed water is important because the reaction with water can lead to uncontrolled level of polymerization of TEOS and SiCl4, along with most common ALD precursors. On the other hand, the complete removal of water on the surface is not desirable, as a layer of water is needed for the SiCl4 or TEOS to react with the surface. In the absence of an adsorbed water layer, direct reaction of SiCl4 or TEOS with the isolated SiOH groups to form a covalent Si−O−Si bond only occurs at temperatures above 200−300 °C.21,22 This is consistent with ab initio calculations by Deng et al. that showed an activation barrier of 43 kcal/mol for the chemisorption of TEOS on the fully hydroxlated SiO2 surface.23 Water on the surface is needed to hydrolyze the SiCl4 or TEOS to the corresponding SiOH, which is then adsorbed through a hydrogen bond (H-bond) with the isolated SiOH groups on silica. The adsorbed silane on silica then undergoes a condensation reaction with these surface SiOH groups and adjacent adsorbed molecules to form a 2-D polymerized network.24 It is possible to eliminate the need for surface water by using an amine catalyst to promote the reaction of the alkoxy or chlorosilane with the isolated SiOH groups.21 The mechanism occurs by two pathways. In solution, amine catalysts are often added with the alkoxy- or chlorosilane to accelerate reaction rates.25 The reaction proceeds by a one-stage nucleophilic mechanism in which the amine base forms a pentacoordinate silicon intermediate26 that is reactive with a second nucleophile. In the reaction on silica, a similar mechanism occurs in which the second nucleophile is an isolated SiOH, leading to a covalently attached silane on the surface. Applying this approach to a sc-CO2 ALD reaction scenario, the TEOS or SiCl4 would be coadded with an amine base to form the pentacoordinate intermediate in the fluid phase which, under dry conditions, would then react directly with the isolated SiOH groups. This would be followed by a second step of water addition to hydrolyze the remaining chloro or alkoxy groups to SiOH to produce sites for reaction in the next cycle. This reaction would be limited to tertiary amines, as primary and secondary amines react with CO2, forming carbamates.20 The main problem associated with the coaddition of the silane and amine is that it also promotes polymerization of the silane precursor with any rogue water in solution or adsorbed on the surface. In the second pathway, the amine forms a H-bond with the isolated SiOH groups,21,27 rendering the SiOH groups more nucleophilic for attack by a chloro- or alkoxysilane (see Figure S1, Supporting Information). This pathway means that the reaction of the silane to the silica surface can occur in twosteps; the amine is first added to saturate all isolated SiOH groups, followed by the silane in the second step. Because the silane and amine are added in two separate steps, the formation of a pentacoordinate intermediate in solution is avoided along with the unwanted polymerization with rogue water.21 Extending this pathway to the sc-CO2 ALD reaction scenario translates to the use of a three-step ALD sequence (see Figure S1b, Supporting Information). The first step would be the addition of the tertiary amine catalyst (e.g., pyridine, triethylamine) and then the addition of TEOS or SiCl4 in the second step followed by the addition of water in the third step. Ab initio calculations for a three-step ALD reaction of SiCl4 on silica surface have shown that the base catalyst decreases the

2. EXPERIMENTAL SECTION 2.1. Materials and Equipment. Fumed silica (Aerosil 380, surface area of 375 m2/g), MCM-41 powder (surface area of ∼1100 m2/g), triethylamine (TEA, 99%), silicon tetrachloride (SiCl4, 99%), and tetraethyl orthosilicate (TEOS, 99.99%) were obtained from Aldrich. Water was obtained from a Millipore gradient A10 water purification system. The sc-CO2 delivery system was custom-built by Applitech Corporation and is described elsewhere.10 The system contained four separated heated reaction vessels connected in parallel with individual inlet and outlet valves (see Figure S2, Supporting 7171

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir

slow to hydrolyze, so this step was accelerated by the addition of a H2O/TEA (1:1 vol/vol) mixture. 2.2.3. ALD Reactions on the MCM-41 Powder Using TEOS as the Precursor. Reactions were conducted on the MCM-41 powder using the same sc-CO2 delivery system. In this case, the IR cell was replaced with a reaction vessel containing the MCM-41 silica powder. We refer to the vessel containing the silica as the “reactor” cell. The silica powder and liquid reagents were placed in separate glass containers which were then loaded in the sc-CO2 vessels (see Figure S3, Supporting Information). For one ALD cycle, the silica powder was placed on top of a glass fritted disc that sat above 2 mL of the TEOS/ TEA mixture located in the bottom part of the vial (see Figure S3c, Supporting Information). The glass cell was place in the reactor vessel and was purged at 3 mL/min with a 200 bar sc-CO2 at 50 °C for 30 min. The reactor vessel was then closed, and the silica was incubated in the presence of the TEOS/TEA for an additional 4 h. During the 4 h reaction time, sc-CO2 at 200 bar was flowed in the reactor vessel at 0.1−0.2 mL/min. The reactor vessel was then purged with sc-CO2 at 200 bar for 30 min at 3 mL/min and then vented to 1 bar. A portion of the silica was extracted for FTIR spectral analysis. The top part of the cell containing the silica was carefully detached from the bottom vial. The bottom vial was washed, dried, and reattached to the top portion of the glass cell containing the silica. Then 2 mL of the H2O/TEA mixture was injected in the bottom of the vial. The reactor vessel was closed and then purged with sc-CO2 for 30 min at 200 bar. This was followed by a slow flow rate (0.1−0.2 mL/min) of sc-CO2 at 200 bar through the reactor vessel for a period of 12 h. The reactor vessel was then purged with sc-CO2 at 3 mL/min for 30 min and vented to atmosphere pressure. The glass cell was removed, and a sample of the silica was withdrawn for analysis.

Information). The system was connected to a home-built high pressure transmission IR cell that contained a thin silica film. A description of the IR cell19 and the procedures for generating a thin silica film for IR analysis35 are described elsewhere. All IR spectra were recorded on an ABB FTLA 2000 spectrometer at 8 cm−1 resolution. Typically, 200 scans were collected, requiring about 2 min for each spectrum. Nitrogen adsorption/desorption isotherms were measured using a Micromeritics ASAP 2020. The particle morphology and pore size were measured by transmission electron microscopy (TEM) on a Philips CM10, 100 kV, with a camera controller Orius, CCD. Crosspolarization 1H/29Si solid-state MAS NMR spectra were recorded using a 4 mm zirconia rotor on the UI300 spectrometer. The spin rate was adjusted to 10 kHz with 2 s delay time (d1), 8 ms contact time (ct), and 5400 scan (nt). In the direct polarization method, 29Si has a long delay time,41 but by using cross-polarization, the delay time decreases for 1H delay time,42 leading to an increase in signal-to-noise levels. 2.2. IR Studies of ALD Reactions on a Thin Silica Film. A KBr disc was used to support a thin film of silica. About 0.2 mg/cm2 of the silica powder was placed at the center of the KBr disc and then spread radially out to the edges of the disk using a glass slide under minimal hand pressure. This produced a thin film that was partially transparent over the entire IR region. The KBr disc containing the thin film of silica was mounted in a glass holder and placed inside the IR cell. A detailed description of the IR cell is given elsewhere.35 The cell was then purged with sc-CO2 at 50 °C and 200 bar for a period of 30 min. This purge step removed the adsorbed water from the silica.19 The cell was vented to 1 bar and purged with a dry N2 stream, and then a reference spectrum was recorded. About 1 mL of each reagent (TEA, SiCl4, TEOS, and H2O) was pipetted into open glass vials under N2 and then placed in separate reactant vessels. It is noted that sc-CO2 has regions that are transparent in the IR, enabling the detection of bands due to the silane precursors, TEA, and water.19,20 Thus, it was possible to continually monitor the appearance of the reactants in the fluid phase in the IR cell and the disappearance of reactants and CO2 during the purge and vent with N2 stages. 2.2.1. IR Studies of the ALD Reaction on a Fumed Silica Using SiCl4 as the Precursor. In a control experiment, SiCl4 was added to the silica for 5 min. The cell was then purged with sc-CO2 for 5 min, vented, and purged with N2. This was the only experiment in which SiCl4 was added to a bare silica surface not pretreated with TEA. In all other experiments involving the reaction of SiCl4, the silica was first exposed to TEA. The first step consisted of the addition of TEA in 200 bar sc-CO2 to the silica for 10 min, followed by a 5 min purge with scCO2 at 200 bar. Then the cell was vented and purged with a dry N2 stream. An IR spectrum was recorded. In the second step, SiCl4 in 200 bar sc-CO2 was delivered to the IR cell for 10 min, followed by a 5 min purge with sc-CO2, vented, and purged with dry N2. In the third step, water in 200 bar sc-CO2 was added to the cell for 20 min, followed by a purge with 200 bar sc-CO2 for 30 min, vented to 1 bar, and purged with N2. Completing the first, second, and third steps constituted one ALD cycle. All of the above steps were repeated for additional ALD cycles. 2.2.2. IR Studies of the ALD on a Fumed Silica Using TEOS as the Precursor. For a two-step TEOS-based ALD reaction, two approaches were used. In the first case, TEOS in 200 bar sc-CO2 was added to the silica for 30 min, and the cell was purged with sc-CO2 at 200 bar for 5 min, vented, and then purged with N2. Next, water in 200 bar sc-CO2 was added for 6 h, followed by a 5 min purge with 200 bar sc-CO2, vented, and then purged with N2. In the second case, a TEOS/TEA mixture (1:1 vol/vol) in 200 bar sc-CO2 was added for 30 min, followed by a 5 min purge with 200 bar sc-CO2, vented, and then purged with N2. Next, a H2O/TEA (1:1 vol/vol) mixture in 200 bar sc-CO2 was added for 2 h, followed by a 5 min purge with 200 bar scCO2, vented, and purged with N2. For the three-step ALD reaction with TEOS, the same experimental procedures outlined for the three-step ALD method using SiCl4 were followed with two exceptions. In the second step, TEOS was substituted for SiCl4. In the third step, the adsorbed species was

3. RESULTS AND DISCUSSION 3.1. ALD Using SiCl4 as the Precursor. The IR spectrum of a silica thin film after the initial sc-CO2 purge is shown in Figure 1a. The low reactivity of SiCl4 is due to the reaction temperature and to the desiccating ability of the sc-CO2 to

Figure 1. (a) Thin film of silica purged at 50 °C for 30 min with 200 bar sc-CO2, followed by venting and purging with N2. (b) Difference spectrum obtained after reaction of thin film silica with SiCl4. (c) Thin film of silica after exposure to TEA, followed by (d) SiCl4 and then (e) H2O. 7172

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir

with H-bonding with TEA. It is also noted that this spectrum is identical to the spectrum obtained for TEA addition from the gas phase,21 showing that the sc-CO2 does not impede or alter the reaction of TEA with silica. Figure 1d shows the IR spectrum recorded after addition of SiCl4 in the second step. A negative band at 3747 cm−1 is about the same intensity as obtained after TEA addition in the first step (see Figure 1c). This shows that the reaction is complete in that the same number of isolated SiOH groups that were Hbonded with TEA are involved in a reaction with the SiCl4. The negative band at 3747 cm−1 accompanied by the appearance of a Si−O−Si mode near 1080 cm−1 provides conclusive evidence of a covalent Si−O−Si bond between the SiCl4 and the surface SiOH.35 Furthermore, the Si−Cl mode at 500 cm−1 shows that the attached silane is not fully hydrolyzed or cross-linked with adjacent attached silanes. Although SiCl4 displaced the TEA, weak bands in the CH stretching and bending mode region are observed. These bands are due to formation of triethylammonium salt (NH+(C2H5)3Cl−) arising from the reaction of TEA with the HCl produced as a byproduct.21 There are also bands at 3400 and 1620 cm−1 due to water. This is due to water bound to the salt byproduct and to the difficulty in removing all rogue water from the sc-CO2 system during the flushing with N2. Figure 1e shows the difference spectrum after addition of water. The Si− Cl at 500 cm−1 is reduced by about 90%, which is accompanied by an increase in the overall intensity of the Si−O−Si band and formation of a Si−O−Si shoulder peak at 1116 cm−1. The appearance of two Si−O−Si modes is indicative of condensation between adjacent SiOH forming a Si−O−Si polymerized network. A single Si−O−Si band occurs in the IR spectrum of hexamethyldisiloxane where polydisiloxanes show two Si−O−Si bands. The two bands are due to the symmetric and asymmetric cooperative modes, and the spacing between these two bands decreases with the degree of polymerization.46 In our case, the high wavenumber mode appears as a shoulder, which is the signature for a highly polymerized network and similar to bulk silica. The broad band at 3400 and 1620 cm−1 is due to adsorbed water, but these have not increased relative to Figure 1c. Figure 2 shows the IR spectra after successive three-step ALD cycles of TEA, SiCl4, and then water. There is a linear increase in Si−O−Si modes located at 1200−800 cm−1 with each cycle. There is also no change in the negative band at 3747 cm−1 with each cycle showing that the surface SiOH has completely reacted in the first cycle. The salt bands grow with

remove the adsorbed water layer. The more common approach for growing silica films uses a two-step ALD process of SiCl4 followed by water in the vapor phase at elevated temperatures (327−527 °C).43 At temperatures above 200 °C, there is a direct reaction of the SiCl4 with the isolated SiOH groups through the formation of a surface Si−O−Si bond.35 In our case, the reaction with SiCl4 is performed at 50 °C and hence direct reaction with the isolated SiOH groups does not occur. SiCl4 adsorbs via a weak van der Waals interaction with the isolated SiOH groups, equal to the level of interaction that scCO2 has with the SiOH groups. These weakly adsorbed SiCl4 are easily removed during the purge step. Figure 1b does, however, show evidence of a small level of reaction with SiCl4. It is generally recognized that reactions of chloro- or alkoxysilanes with silica at or near room temperature requires a layer of adsorbed water.24,44 Water is needed to hydrolyze the SiCl4 to the corresponding Si(OH)4 which then can adsorb through strong H-bonds with the isolated SiOH groups or condense to form a surface Si−O−Si linkage. In Figure 1b, there was some hydrolysis and adsorption of SiCl4 as evidenced by the broad band near 920 cm−1 and the absence of bands in the Si−Cl region around 500 cm−1. There is also a band at 1037 cm−1 which shows formation of a Si−O−Si surface bond. This band is too high in wavenumber to be a shift in the Si−O mode of a surface SiOH arising from H-bonding with the hydrolyzed SiCl4. Formation of a H-bond between the isolated SiOH on the surface and SiOH of the hydrolyzed SiCl4 would have produced a band below 950 cm−1.45 Therefore, it is most likely that the reaction of small amounts of SiCl4 is due to hydrolysis of the SiCl4 with residual water in the sc-CO2 system. While the sc-CO2 removes the adsorbed water from the silica it is extremely difficult to eliminate the reaction of SiCl4 with residual water in the system. We conclude that a two-step process in sc-CO2, in which SiCl4 is added in the first step, would not lead to high SiO2 growth rates. As a result, the use of an amine catalyst is needed to obtain reasonable growth rates of silica film using SiCl4 in sc-CO2. The spectrum obtained after addition of TEA to a bare silica film is shown in Figure 1c. The bands in the region of 3000− 2800, 1500−1250, and 730 cm−1 are various C−H modes of TEA adsorbed on the surface. The negative band at 3747 cm−1 occurs because H-bonding of the TEA to the isolated SiOH groups shifts this band to appear as a broad band around 2677 cm−1.32 The addition of TEA (see Figure 1c) results in a negative band at 3747 cm−1 that is 10 times more intense than in Figure 1b, and hence there are 10 times more isolated SiOH interacting with TEA than with SiCl4. It is also equal in value to the intensity of this positive band in Figure 1a, showing that reaction is complete, in that all isolated SiOH groups are Hbonded with TEA. The most intense band in Figure 1c appears at 1000 cm−1 with a weaker band on the low wavenumber side. The appearance of two bands is the consequence of recording difference spectra in which a negative band can be superimposed on a positive band. In this case there is a negative band at 978 cm−1 due to a Si−O stretching mode of the isolated SiOH groups.32 Thus, the appearance of two bands is simply the superposition of the negative Si−OH onto the broader Si− OH mode at 1000 cm−1.32 While the OH stretching mode of the isolated SiOH groups at 3747 cm−1 shifts to a lower wavenumber when H-bonded to TEA, the corresponding Si−O mode shifts to a higher wavenumber. Thus, the single intense band at 1000 cm−1 is due to the upward shift in the Si−O mode

Figure 2. IR spectra of the first three cycles of a three-step ALD reaction using SiCl4. 7173

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir

phase.32 The negative band at 3747 cm−1 shows that the surface SiOH is involved in the adsorption of TEOS. The broad band at 3400 cm−1 could be due to isolated SiOH groups that Hbond to TEOS or due to adsorbed water. However, there is no contribution to this band from adsorbed water because there is no water deformation mode at 1620 cm−1 in the spectrum. Thus, the 3400 cm−1 arises solely from the shift of the 3747 cm−1 band due to H-bonding of the TEOS with the isolated SiOH groups. The other main difference between parts a and b of Figure 3 is that the relative intensity of the Si−OC mode at 1112 cm−1 in comparison to the C−H stretching mode near 2983 cm−1, is about 70−80% lower in Figure 3b. The position and intensity of C−H stretching modes are structure insensitive and provides a reference point for the total amount of TEOS adsorbed whereas the Si−O−C mode position shifts when H-bonded to the surface SiOH.47 Thus, from the difference in the ratio in Figure 3a and 3b, about 70−80% of the ethoxy groups participate in H-bonding with the surface SiOH or are consumed during Si−O−Si formation. A decrease in the Si−O−C mode with H-bonding would lead to a shift in the SiO−C band from 1102 cm−1 in the gas phase to a lower wavenumber. This could explain the appearance of the strong band at 957 cm−1 in the spectrum of TEOS adsorbed on silica. However, the band at 957 cm−1 is not solely due to H-bonded groups, as it overlaps with the CH3 rocking mode at 965 cm−1. In examining the spectrum in Figure 3b, we observe that the relative intensity of the band at 957 cm−1 to the CH mode at 800 cm−1 is higher compared to the corresponding relative intensities in the spectrum of the gasphase TEOS in Figure 3a. CH3 rocking modes are also structure insensitive and do not change in relative intensity. Thus, there is an additional contribution to the overall intensity of the 957 cm−1 which is assigned to a band arising from Hbonding between the ethoxy groups of TEOS and the surface SiOH.47 Figure 3c is the spectrum obtained after H2O/TEA treatment in the second step. The C−H stretching modes are reduced in intensity by about 90%, which could be due to either the conversion of the ethoxy groups of TEOS to the SiOH or loss of adsorbed TEOS from the surface. The spectra show evidence for both pathways. The ratio of the band at 3400 cm−1 due to SiOH groups H-bonded with TEOS to the CH mode at 2983 cm−1 in Figure 3c is about 4 times the value obtained for this same ratio calculated for the spectrum in Figure 3b. This would only occur with hydrolysis of the ethoxy moieties of the adsorbed TEOS to their corresponding SiOH. However, the negative band at 3747 cm−1 is about 65% less intense (note that the ordinate scale for Figure 3c is expanded by a factor of 2) in Figure 3c, which shows that about 65% of the isolated SiOH are reformed by removal of the H-bonded TEOS or by cleavage of the Si−O−Si bond of the covalently attached TEOS. This means that 65% of the adsorbed TEOS are removed during reaction with H2O in the second step of the ALD cycle. There is also little evidence of Si−O−Si formation through the reaction with surface SiOH or through cross-linking with adjacent adsorbed molecules. Compared to the SiCl4 reaction (see Figure 1), there are no intense bands in the 1200−1000 cm−1 region of Figure 3c due to Si−O−Si formation. Because of the removal of adsorbed TEOS during the water step and the low, if any, level of Si−O−Si formation, it was concluded that a two-step ALD growth of a TEOS-based process would lead to

each cycle, but the presence of the salt does not appear to inhibit a linear growth of SiO2 on the surface. Therefore, the spectra in Figure 2 show that the sc-CO2-based three-step ALD growth using TEA as a catalyst proceeds according to the reaction depicted in Figure S1b, Supporting Information. The salt is not soluble in sc-CO2 but may be removed by gentle heating (80 °C) under vacuum or with heating alone at temperatures above 200 °C.21 There was a drawback of using SiCl4 that is not depicted in the spectra. The HCl generated in the water step is corrosive to the stainless steel components in the delivery chamber and lines, leaving dark brown rust particulates on the internal walls. It is possible to line the high pressure tubing with inert material such as Teflon, but this was outside the scope of this work and our budget. Thus, we turned our attention to the use of TEOS as a silica precursor, as it would generate the benign ethanol as a byproduct and thus be more suitable for ALD growth in our sc-CO2 delivery system. 3.2. ALD Reaction Using TEOS as the Precursor. 3.2.1. TEOS/H2O. While SiCl4 adsorbs on silica via weak van der Waals interactions with the isolated SiOH groups, alkoxysilanes such as TEOS adsorb more strongly to silica through H-bonds with these same surface groups and are not removed by purging with sc-CO2.47 Therefore, the first set of experiments monitored the ALD growth with TEOS using a two-step reaction of TEOS followed by H2O. Figure 3a is the gas-phase

Figure 3. IR spectra of (a) gaseous TEOS, (b) silica treated with TEOS, and then (c) addition of H2O/TEA.

spectrum of TEOS and is provided for comparative purposes. There are various CH stretching modes in the 3000−2800 cm−1 region, a CH2 wagging mode at 1394 cm−1, a CH2 twisting mode at 1299 cm−1, CH3 rocking modes at 1168 and 965 cm−1, and Si−O−C asymmetric and symmetric stretching modes at 1102 and 1086 cm−1, respectively. The appearance of strong Si−O−C and OCH3 modes in the Si−O−Si region of the spectrum makes this region more complicated to interpret than found with the adsorption of SiCl4. Figure 3b is the spectrum obtained after addition of TEOS to the silica from sc-CO2. Unlike SiCl4, TEOS adsorbs on silica from sc-CO2 and is not removed by a purge step. The spectrum obtained is similar to that obtained for tetramethyl orthosilicate (TMOS) adsorbed on silica at room temperature from the gas 7174

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir

obtained for TEOS adsorbed on silica (see Figure 3b). Comparing the intensity ratio of the 3400 cm−1 to 3747 cm−1 bands in Figures 3b and 4a, we estimate that only about 10% of the isolated SiOH interact through H-bonding with the ethoxy groups of TEOS. This 10% value includes any ethoxy groups that are hydrolyzed to a SiOH, as these would also contribute to the intensity of the broad band centered at 3400 cm−1. The remaining 90% of the isolated SiOH groups are consumed during formation of a surface Si−O−Si bond with the TEOS. This suggests that the TEA liberated from the surface into the fluid phase competes with the TEOS for the surface SiOH groups. At any given time, TEA would be Hbonded with the SiOH groups and a fraction of incoming TEOS could react, forming a surface Si−O−Si bond rather than simply displacing the TEA from the surface. Alternatively, the TEA and TEOS liberated into the fluid phase combine to form a pentacoordinate intermediate, which then reacts to form a Si−O−Si bond with the surface SiOH groups. In either case, as the incubation time increases, the number of TEOS molecules that are converted to species covalently bound through Si−O− Si bonds increases. It is tempting to assign the broad band at 1112 cm−1 in Figure 4a solely to formation of covalent Si−O−Si bonds between the surface and TEOS. The shape of this band (peak at 1112 cm−1, negative band at 990 cm−1 superimposed on this broad feature) is similar to that obtained for TEA and then SiCl4 (see Figure 1c). However, the band at 1112 cm−1 also coincides with the strong Si−O−C mode of gaseous TEOS, and thus there could be a contribution from free ethoxy groups extending from the surface to the intensity of the band at 1112 cm−1. The TEA pretreatment clearly led to a low level of Hbonding of the TEOS to the surface and hence could result in a much higher fraction of adsorbed TEOS covalently bound with free ethoxy groups extending from the surface. Therefore, it is likely that the broad band at 1112 cm−1 contains a contribution from Si−O−Si bonds to the surface and free ethoxy groups extending from the surface. Addition of H2O/TEA in the third step for 2 h (Spectrum 4b) leads to a decrease in intensity of all bands, except at 3747 cm−1. A decrease in the bands due to ethoxy groups could be explained as the hydrolysis of the adsorbed ethoxy groups, but the decrease in Si−O−Si modes also shows that there is loss of adsorbed silane from the surface. With the prolonged exposure to water in sc-CO2, this leads to even higher levels of cleavage of Si−O−Si bonds. A mixture of H2O/TEA was used to minimize contact time with water and thus minimize the amount of silane displaced from the surface. Use of this mixture reduced the time for hydrolysis from 6 h to 2 h. In a previous study by our group,32 addition of a mixture of amine catalyst and alkoxysilane in the first step produced the same products as adding the two reagents sequentially. When a mixture of 1:1 TEA/methoxysilane was added to the silica for a short time (less than 30 s), followed by evacuation, the spectra contained bands due to TEA adsorbed on the surface. However, at longer incubation times of 30 min, there was no evidence of adsorbed TEA and the surface contained covalently attached methoxysilane. On the basis of this work, in the next experiment, we performed the ALD reaction sequence in which the first step was addition of a mixture of silane and amine (1:1 vol/vol TEOS/TEA). The spectrum obtained is shown in Figure 4c. There is some similarity between this spectrum and that obtained for the sequential addition of TEA and then TEOS

much lower levels of growth compared to the three-step ALD process with SiCl4. 3.2.2. Base-Catalyzed Three-Step ALD Reaction with TEA/ TEOS/H2O. Figure 4a shows the spectra of silica treated with

Figure 4. IR spectra after addition of (a) TEA and then TEOS, followed by (b) the addition of H2O/TEA to silica. Spectrum c is from a separate experiment where a mixture of TEOS/TEA was added to the silica followed by (d) H2O/TEA.

TEA and then TEOS. There are no bands due to adsorbed TEA (see Figure 1c), showing that TEOS has completely displaced all adsorbed TEA from the surface. This is consistent with earlier work that showed TEA was easily displaced by dior trialkoxysilane but not by monoalkoxysilanes.32,47 Although the heat of formation of a H-bond between TEA and a surface SiOH is about 9.9 kcal/mol and larger than the 6.7 kcal/mol for a H-bond with an alkoxy group, each di- or trialkoxysilane can adsorb through multiple H-bonds per molecule and the next effect is a higher adsorption energy.32 By extension, we expect the same behavior with TEOS, given that it has four alkoxy groups and thus would adsorb though multiple H-bonds per molecule. Approximate overall heat of formation for the H-bond of TEOS with surface Si−OH groups on the silica surface will be 13.4 and 21.1 kcal/mol for TEOS with a bidentate or tridentate species, respectively. For steric reasons, formation of a H-bond with all of the TEOS ethoxy groups was not considered. Though the TEA was added in the first step, it is liberated from the surface and both TEOS and TEA would be in the fluid phase, competing for adsorption on the surface sites or reacting in the fluid phase to form a pentacoordinate species25 that could then react with surface SiOH. Furthermore, there are no bands due to formation of an amine salt as found when using SiCl4. This is expected, as there is no reaction between the ethanol generated as a byproduct when using TEOS and TEA. However, bands around 1600−1400 cm−1 are observed due to formation of carbamates,20,48 which likely occur from the reaction of CO2 with trace amounts of primary or secondary amine impurities in the TEA. Bands at 1668 and 1590−1580 cm−1 are due to amide I and amide II bands, respectively, and C−N stretching mode appears at 1395 cm−1.20 The band at 3400 cm−1 due to H-bonded SiOH groups in Figure 4a is much weaker in intensity than in the spectrum 7175

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir

Figure 5. Adsorption/desorption isotherms and pore size distribution for MCM-41 and MCM-41-ALD.

of P/P0 = 0.01−0.33 corresponds to monolayer−multilayer formation on the surface, and the sharp step in the range of 0.33−0.4, accompanied by a hysteresis desorption curve, is indicative of pore filling due to capillary condensation (CC). The surface area for MCM-41 was calculated to be 1103 ± 56 m2/g, and the average pore size is reported to be 2.82 nm, corresponding to the highest average pore volume of 1.68 cm3/ g. The isotherms for MCM-41 after the first ALD cycle (MCM41-ALD) show type IV behavior. The calculated values of the BJH-PSD for the MCM-41 and MCM-41-ALD samples are shown in the inset in Figure 5. The PSD for MCM-41-ALD has a 0.21 nm smaller mean pore size and a larger distribution compared to MCM-41. This value is consistent with the 0.2 nm thickness growth reported Klaus and George38 for the pore walls of a mesoporous silica per ALD cycle using a gas-phase process. In our case, the surface hydroxyl groups were 100% covered (as determined by the absence of a band at 3747 cm−1 in the DRIFT spectrum) in 16 h during the first ALD cycle with a flow rate of 3 mL/min compared to the reported40 gasphase procedure in which reaction time was 36 h with a higher flow rate of 50 mL/min. However, subsequent ALD cycles did not lead to further decrease in the pore size or distribution as shown in Table S1, Supporting Information, and the N2 adsorption/desorption isotherm and pore size distribution graphs shown in Figures S7 and S8, Supporting Information. FTIR analysis showed that the amount of water adsorbed in the pores of these materials increases relative to the initial adsorbed levels of the starting materials (see Figure S9, Supporting Information). The water cycle leads to capillary condensation of water in the pores, and this water is not easily removed by simple purging with sc-CO2. While this water could be removed by evacuation of the silica at elevated temperature, performing this step would eliminate the main advantage to using a sc-CO2 ALD process. 3.3.2. TEM Images. Figure 6 shows the side and top view TEM micrographs for MCM-41 and MCM-41-ALD. From

(Figure 4a), albeit the bands are more intense when TEOS was coadded with TEA. As shown in Figure 4c, there is no clear peak around 3400 cm−1, showing little evidence of a H-bond formed with the adsorbed TEOS. Compared to Figure 4a, Figure 4c also has bands in the region between 1400 and 1100 cm−1 due to carbamates.20 In addition to a more intense band at 1112 cm−1 (Si−O−Si and SiOC modes), Figure 4c has an additional broad band near 1170 cm−1. This band at 1170 cm−1 is superimposed with several of the carbamate bands. It is noted that the broad band at 1170 cm−1 increases in intensity with the addition of H2O/ TEA (see Figure 4d) and is accompanied by a decrease in the SiOC mode at 1112 cm−1. Thus, we conclude that this band is due to formation of Si−O−Si linkages with the surface and to cross-linking between adjacent SiOH groups. The appearance of polymerized products on the surface would also explain the similarity in the negative intensity of the band at 3747 cm−1 in Figure 4a and 4c and the higher levels of adsorbed silane obtained with a TEOS/TEA mixture. There is also 60−70% reduction in intensity of the peaks due to C−H modes in Figure 4b and 4d compared to Figure 4a and 4c, respectively, showing that hydrolysis of the attached TEOS is not complete. However, because we are using a mixture of H2O/TEA in the last step, a portion of the remaining C−H modes in Figure 4b and 4d are partially due to residual TEA adsorbed on the surface. The same process of a two-step ALD reaction of TEOS/ TEA, followed by H2O/TEA, was repeated for three ALD cycles. IR spectra recorded after each cycle for the two-step ALD reaction of TEOS/TEA followed by H2O/TEA are available in Supporting Information. 3.3. Two-Step ALD on MCM-41 Using TEOS. 3.3.1. N2 Adsorption/Desorption Isotherm. The N2 adsorption/desorption isotherm and pore size distribution for MCM-41 is shown in Figure 5. The shape of isotherm is the typical type IV isotherm for MCM-41.49,50 The isotherm obtained in the range 7176

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir

Figure 6. TEM micrographs: (a) MCM-41, side view, (b) MCM-41, top view, (c) MCM-41-ALD, side view, and (d) MCM-41-ALD, top view.

Figure 7. Cross-polarization 29Si NMR line-fitted spectra of MCM-41 and MCM-41-ALD, using a zirconium rotor, spinning rate, 10 kHz, delay time d1 = 2s, and number of transients nt = 5400.

Figure 6a,b micrographs, we estimated a pore diameter of about 3 nm for MCM-41. The BJH analysis showed a pore diameter of 2.82 nm, which is consistent with the estimated value obtained from the TEM micrographs. The top view micrograph is the hexagonal arrangement of the pores. Also viewed in this micrograph are the pore sizes, estimated to be about 3.0 nm. The scale on the images shows that the pore diameter in Figure 6c,d is smaller than that of MCM-41 and estimated at about 2.3 nm in diameter. 3.3.3. Solid-State NMR Study. 29Si NMR spectra were also recorded to provide a measure of the relative amount of geminal and single SiOH groups on the surface. In the infrared spectrum, the geminal and single SiOH groups are isoenergetic and appear at the same wavenumber.51 Collectively, the geminal and single SiOH groups are known as the isolated SiOH groups. More important for this work, the NMR spectra are not sensitive to adsorbed water and therefore provide complementary data to the IR spectra of the surface silanol population. Figure 7 shows the 1H/29Si cross-polarization NMR spectra for MCM-41and MCM-41-ALD. It is noted that a chemical shift for Si in a SiOCH3 group would appear around 15−20 ppm.52 These spectra show no peaks for the SiOEt group or other form of Si functional group on the surface, which is consistent with the results obtained from the IR spectra. The normalized peak area for Q4 shows an increase with the ALD cycle. This value is highest for the first cycle, changing from 6% in MCM-41 to 12% in MCM-41-ALD. The Q4 represents a “bulk” silica, and an increase in its value relative to Q3 and Q2 (“surface” Si atoms) is consistent with a decrease in surface area with each ALD cycle. On the other hand, the ratio of free geminal Si−OH (Q2) to an isolated Si−OH group (Q3) does not vary with ALD cycle. The NMR data for the ALD reaction on a MCM-41 silica is consistent with the IR results obtained using an nonporous fumed silica.

4. CONCLUSION The ALD reaction of silica precursors and H2O using Sc-CO2 as a solvent was investigated using an in situ IR spectroscopic method. A two-step reaction sequence of SiCl4 followed by H2O produced low SiO2 growth levels due to the reduced level of reaction of SiCl4 with the silica. This is attributed to the desiccating power of Sc-CO2 in removing the adsorbed water layer on the fumed silica. The SiCl4 interacted through weak van der Waals forces with the isolated SiOH groups and were easily removed by purging with sc-CO2. However, complete reaction of the isolated SiOH groups on the surface can be obtained by using a three-step ALD sequential reaction of a tertiary amine, SiCl4, and then H2O. The amine forms a Hbond with the isolated SiOH groups and renders them more nucleophilic for direct reaction with SiCl4. The addition of H2O removes the remaining Si−Cl by hydrolysis. Linear growth rates are obtained using the three-step reaction, but it has the drawback in that the HCl generated as a byproduct is corrosive to the stainless steel components in the sc-CO2 delivery system. A two-step ALD reaction with TEOS and then water showed more SiO2 growth compared to the same reaction with SiCl4. This is because the TEOS forms strong H-bonds with the isolated SiOH groups and is not removed by purging with scCO2. However, the growth rate of SiO2 with TEOS in this twostep reaction was lower compared to the three-step reaction with SiCl4. In the three-step ALD reaction with TEOS (addition of TEA, TEOS, and then H2O), it was shown that the TEOS displaces TEA from the surface. Thus, there was no advantage of a three-step process compared to a two-step ALD reaction when using TEOS. The highest film growth rates for TEOS were obtained using a mixture of TEOS and TEA in the first step of the reaction. It was also found that the third step (hydrolysis with H2O) in the three-step ALD using SiCl4 occurred rapidly. However, the hydrolysis of the adsorbed 7177

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir

(5) Zhao, Y.; Jung, K.; Momose, T.; Shimogaki, Y. Smooth and conformal TiO2 thin-film formation using supercritical fluid deposition. ECS J. Solid State Sci. Technol. 2013, 2 (9), N191−N195. (6) Elam, J. W.; Libera, J. A.; Huynh, T. H.; Feng, H.; Pellin, M. J. Atomic layer deposition of aluminum oxide in mesoporous silica gel. J. Phys. Chem. C 2010, 114 (41), 17286−17292. (7) Mahurin, S.; Bao, L.; Yan, W.; Liang, C.; Dai, S. Atomic layer deposition of TiO2 on mesoporous silica. J. Non-Cryst. Solids 2006, 352 (30−31), 3280−3284. (8) King, J. S.; Heineman, D.; Graugnard, E.; Summers, C. J. Atomic layer deposition in porous structures: 3D photonic crystals. Appl. Surf. Sci. 2005, 244 (1−4), 511−516. (9) Kartal, A. M.; Erkey, C. Surface modification of silica aerogels by hexamethyldisilazane−carbon dioxide mixtures and their phase behavior. J. Supercrit. Fluids 2010, 53, 115. (10) McCool, B.; Tripp, C. P. Inaccessible hydroxyl groups on silica are accessible in supercritical CO2. J. Phys. Chem. B 2005, 109 (18), 8914−8919. (11) Lopez-Aranguren, P.; Saurina, J.; Vega, L. F.; Domingo, C. Sorption of tryalkoxysilane in low-cost porous silicates using a supercritical CO2 method. Microporous Mesoporous Mater. 2012, 148 (1), 15−24. (12) Sun, D.; Liu, Z.; He, J.; Han, B.; Zhang, J.; Huang, Y. Surface sol-gel modification of mesoporous silica molecular sieve SBA-15 with TiO2 in supercritical CO2. Microporous Mesoporous Mater. 2005, 80 (1−3), 165−171. (13) Roy, C.; Vega-Gonzalez, A.; Garcia-Gonzalez, C. A.; Tassaing, T.; Domingo, C.; Subra-Paternault, P. Assessment of scCO 2 techniques for surface modification of micro- and nanoparticles: Process design methodology based on solubility. J. Supercrit. Fluids 2010, 54 (3), 362−368. (14) Yang, J.; Hasell, T.; Smith, D. C.; Howdle, S. M. Deposition in supercritical fluids: from silver to semiconductors. J. Mater. Chem. 2009, 19 (45), 8560−8570. (15) Chen, F.; Shen, S.; Xu, X.-J.; Xu, R.; Kooli, F. Modification of micropore-containing SBA-3 by TEOS liquid phase deposition. Microporous Mesoporous Mater. 2005, 79, 85−91. (16) Du, Y.; Du, X.; George, S. M. Mechanism of pyridine-catalyzed SiO2 atomic layer deposition studied by fourier transform infrared spectroscopy. J. Phys. Chem. C 2007, 111, 219−226. (17) McCool, B. A.; DeSisto, W. J. Self-limited pore size reduction of mesoporous silica membranes via pyridine-catalyzed silicon dioxide ALD. Chem. Vap. Deposition 2004, 10 (4), 190−194. (18) Sneh, O.; Wise, M. L.; Ott, A. W.; Okada, L. A.; George, S. M. Atomic layer growth of SiO2 on Si(100) using SiCl4 and H2O in a binary reaction sequence. Surf. Sci. 1995, 334, 135−152. (19) Tripp, C. P.; Combes, J. R. Chemical modification of metal oxide surfaces in supercritical CO2: The interaction of supercritical CO2 with the adsorbed water layer and the surface hydroxyl groups of a silica surface. Langmuir 1998, 14 (26), 7348−7352. (20) Combes, J. R.; White, L. D.; Tripp, C. P. Chemical modification of metal oxide surfaces in supercritical CO2: In situ infrared studies of the adsorption and reaction of organosilanes on silica. Langmuir 1999, 15 (22), 7870−7875. (21) Tripp, C. P.; Hair, M. L. Chemical attachment of chlorosilanes to silica: A two-step amine-promoted reaction. J. Phys. Chem. 1993, 97 (21), 5693−5698. (22) Hair, M. L.; Hertl, W. Reactions of chlorosilanes with silica surfaces. J. Phys. Chem. 1969, 73, 2372. (23) Deng, X.; Song, Y.; Li, J.; Pu, Y. Interaction of tetraethoxysilane with OH-terminated SiO2 (0 0 1) surface: A first principles study. Appl. Surf. Sci. 2014, 305, 247−251. (24) Silberzan, P.; Léger, L.; Ausserré, D.; Benattar, J. J. Silanation of silica surfaces. A new method of constructing pure or mixed monolayers. Langmuir 1991, 7, 1647−1651. (25) Corriu, R. J. P.; Guerin, C. Nucleophilic displacement at silicon stereochemistry and mechanistic implications. J. Organomet. Chem. 1980, 198, 231−320.

TEOS by water was slow and was accelerated using a mixture of H2O and TEA. The preferred ALD protocol in sc-CO2 identified in the FTIR studies was then applied to a mesoporous MCM-41 silica. TEM and N2 adsorption studies showed that a growth of 0.21 nm thickness of the SiO2 layer in the pore wall occurred with the first ALD cycle. There was no additional pore size reduction with higher ALD cycles due to the difficulty in removing water from the inner pore regions. This differed from a nonporous silica in that water was easily removed between ALD cycles.



ASSOCIATED CONTENT

S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acs.langmuir.6b01669. More detailed description of the experimental procedures, and additional results. Figure S1, scheme for (a) two-step and (b) three-step ALD reactions; Figure S2, sc-CO2 deposition system connected with a IR cell; Figure S3a, supercritical CO2 deposition system b and c vessels configured to run a ALD reaction system in continuous mode; Figure S4, IR spectra recorded after each cycle for the two-step ALD reaction of TEOS/TEA followed by H2O/TEA; Figure S5, relative intensity of the Si−O−Si band using SiCl4 and TEOS/TEA and then H2O/TEA versus the number of cycles; Figure S6, DRIFT spectra of (a) MCM-41, (b) MCM-41 treated with TEOS/TEA in sc-CO2, (c) after H2O/TEA addition, and (d) after N2 adsorption/desorption process; Figure S7, N2 adsorption/desorption isotherms for MCM-41-XC, X represents the number of ALD cycles; Figure S8, pore size distribution for MCM-41 and MCM-41-XC, X represents the number of ALD cycles; Table S1, structural properties from N2 adsorption− desorption isotherms; Figure S9, DRIFT spectra of MCM-41 and MCM-41-XC, X represents the number of ALD cycles (PDF)



AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]. Notes

The authors declare no competing financial interest.

■ ■

ACKNOWLEDGMENTS This work was supported by an internal aging grant at the University of Maine. REFERENCES

(1) Ritala, M.; Leskela, M.; Nalwa, H. S.; Eds. Atomic Layer Deposition in Handbook of Thin Film Materials; Academic Press: New York, 2002; Vol. 1, p 706. (2) Leskela, M.; Ritala, M. Atomic layer deposition chemistry: Recent developments and future challenges. Angew. Chem., Int. Ed. 2003, 42 (45), 5548−5554. (3) McCool, B. A.; DeSisto, W. J. Synthesis and characterization of silica membranes prepared by pyridine-catalyzed atomic layer deposition. Ind. Eng. Chem. Res. 2004, 43 (10), 2478−2484. (4) George, S. M.; Ferguson, J. D.; Klaus, J. W. Atomic layer deposition of thin films using sequential surface reactions. MRS Online Proc. Libr. 2000, 616, 93−101. 7178

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179

Article

Langmuir (26) Kinkel, J. N.; Unger, K. K. Role of Solvent and Base in the silanization reaction of silicas from reversed-phase high-performance liquid chromatography. J. Chromatogr. 1984, 316, 193−200. (27) Blitz, J. P.; Murthy, R. S. S.; Leyden, D. E. The role of amine structure on catalytic activity for silyation reactions with Cab-O-Sil. J. Colloid Interface Sci. 1988, 126, 387. (28) Chen, S.; Fang, G.; Qian, X.; Li, A.; Ma, J. Influence of alkalinity and steric hindrance of lewis-base catalysts on atomic layer deposition of SiO2. J. Phys. Chem. C 2011, 115 (47), 23363−23373. (29) Han, B.; Zhang, Q. F.; Wu, J. P.; Han, B.; Karwacki, E. J.; Derecskei, A.; Xiao, M. C.; Lei, X. J.; O’Neill, M. L.; Cheng, H. S. On the mechanisms of SiO2 thin-film growth by the full atomic layer deposition process using bis(tert-butylamino)silane on the hydroxylated SiO2(001) Surface. J. Phys. Chem. C 2012, 116 (1), 947−952. (30) Kanan, S. M.; Tze, W. T. Y.; Tripp, C. P. Method to double the surface concentration and control the orientation of adsorbed (3aminopropyl)dimethylethoxysilane on silica powders and glass slides. Langmuir 2002, 18 (17), 6623−6627. (31) Ferguson, J. D.; Smith, E. R.; Weimer, A. W.; George, S. M. ALD of SiO2 at room temperature using TEOS and H2O with NH3 as the catalyst. J. Electrochem. Soc. 2004, 151 (8), G528−G535. (32) White, L. D.; Tripp, C. P. An infrared study of the aminecatalyzed reaction of methoxymethylsilanes with silica. J. Colloid Interface Sci. 2000, 227 (1), 237−243. (33) Wiebe, R. The binary system carbon dioxide−water under pressure. Chem. Rev. 1941, 29 (3), 475−481. (34) Wiebe, R.; Gaddy, V. L. Vapor phase composition of carbon dioxide−water mixtures at various temperatures and at pressures to 700 atm. J. Am. Chem. Soc. 1941, 63 (2), 475−477. (35) Tripp, C. P.; Hair, M. L. Reaction of chloromethylsilanes with silica: A low-frequency infrared study. Langmuir 1991, 7 (5), 923−7. (36) Lapin, N. A.; Chabal, Y. J. Infrared characterization of biotinylated silicon oxide surfaces, surface stability, and specific attachment of streptavidin. J. Phys. Chem. B 2009, 113 (25), 8776− 8783. (37) Jarnbhrunkar, S.; Yu, M. H.; Yang, J.; Zhang, J.; Shrotri, A.; Endo-Munoz, L.; Moreau, J.; Lu, G. Q.; Yu, C. Z. Stepwise pore size reduction of ordered nanoporous silica materials at angstrom precision. J. Am. Chem. Soc. 2013, 135 (23), 8444−8447. (38) Klaus, J. W.; George, S. M. Atomic layer deposition of SiO2 at room temperature using NH3-catalyzed sequential surface reactions. Surf. Sci. 2000, 447 (1−3), 81−90. (39) Hu, X.; Qiao, S.; Zhao, X. S.; Lu, G. Q. Adsorption study of benzene in ink-bottle-like MCM-41. Ind. Eng. Chem. Res. 2001, 40 (3), 862−867. (40) Fodor, K.; Bitter, J. H.; de Jong, K. P. Investigation of vaporphase silica deposition on MCM-41, using tetraalkoxysilanes. Microporous Mesoporous Mater. 2002, 56 (1), 101−109. (41) Larsen, F. H.; Farnan, I. 29Si and 17O (Q)CPMG-MAS solidstate NMR experiments as an optimum approach for half-integer nuclei having long T1 relaxation times. Chem. Phys. Lett. 2002, 357 (5−6), 403−408. (42) Trebosc, J.; Wiench, J. W.; Huh, S.; Lin, V. S. Y.; Pruski, M. Solid-state NMR study of MCM-41-type mesoporous silica nanoparticles. J. Am. Chem. Soc. 2005, 127 (9), 3057−3068. (43) Klaus, J. W.; Ott, A. W.; Johnson, J. M.; George, S. M. Atomic layer controlled growth of SiO2 films using binary reaction sequence chemistry. Appl. Phys. Lett. 1997, 70, 1092. (44) Angst, D. L.; Simmons, G. W. Moisture absorption characteristics of organosiloxane self-assembled monolayers. Langmuir 1991, 7, 2236−2242. (45) Tripp, C. P.; Hair, M. L. Reaction of alkylchlorosilanes with silica at the solid/gas and solid/liquid interface. Langmuir 1992, 8 (8), 1961−7. (46) Smith, A. L. Infrared spectra-structure correlation for organosilicon compounds. Spectrochim. Acta 1960, 16, 87−105. (47) White, L. D.; Tripp, C. P. A Low-frequency infrared study of the reaction of methoxymethylsilanes with silica. J. Colloid Interface Sci. 2000, 224 (2), 417−424.

(48) Bertin, M.; Martin, I.; Duvernay, F.; Theule, P.; Bossa, J. B.; Borget, F.; Illenberger, E.; Lafosse, A.; Chiavassa, T.; Azria, R. Chemistry induced by low-energy electrons in condensed multilayers of ammonia and carbon dioxide. Phys. Chem. Chem. Phys. 2009, 11 (11), 1838−1845. (49) Kruk, M.; Jaroniec, M.; Sayari, A. Adsorption study of surface and structural properties of MCM-41 materials of different pore sizes. J. Phys. Chem. B 1997, 101 (4), 583−589. (50) Kruk, M.; Jaroniec, M.; Sayari, A. Application of Large Pore MCM-41 molecular sieves to improve pore size analysis using nitrogen adsorption measurements. Langmuir 1997, 13 (23), 6267−6273. (51) Morrow, B. A.; Gay, I. D. Silicon-29 cross-polarization/magic angle spinning NMR evidence for geminal silanols on vacuumactivated silica. J. Phys. Chem. 1988, 92, 5569−5571. (52) Sindorf, D. W.; Maciel, G. E. Silicon-29 CP/MAS NMR studies of methylchlorosilane reactions on silica gel. J. Am. Chem. Soc. 1981, 103 (14), 4263−4265.

7179

DOI: 10.1021/acs.langmuir.6b01669 Langmuir 2016, 32, 7170−7179