Surface-Localized Sealing of Porous Ultralow-k ... - ACS Publications

Jul 19, 2017 - Lam Research Corporation, Fremont, California 94538, United States. •S Supporting Information. ABSTRACT: Semiconductor integrated cir...
3 downloads 0 Views 1MB Size
Subscriber access provided by ECU Libraries

Article

Surface-Localized Sealing of Porous Ultralow-k Dielectric Films with Ultrathin (< 2 nanometer) Polymer Coating Seong Jun Yoon, Kwanyong Pak, Taewook Nam, Alexander Yoon, Hyungjun Kim, Sung Gap Im, and Byung Jin Cho ACS Nano, Just Accepted Manuscript • DOI: 10.1021/acsnano.7b01998 • Publication Date (Web): 19 Jul 2017 Downloaded from http://pubs.acs.org on July 20, 2017

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Nano is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Surface-Localized Sealing of Porous Ultralow-k Dielectric Films with Ultrathin (< 2 nanometer) Polymer Coating Seong Jun Yoon,†,⊥ Kwanyong Pak,‡,⊥ Taewook Nam,§ Alexander Yoon,∥ Hyungjun Kim,§ Sung Gap Im,‡,* and Byung Jin Cho†,* †



Department of Electrical Engineering, KAIST, Daejeon 34141, Republic of Korea

Department of Chemical and Biomolecular Engineering, KAIST, Daejeon 34141, Republic of Korea

§

School of Electrical and Electronic Engineering, Yonsei University, Seoul 03722, Republic of Korea ∥

Lam Research Corporation, Fremont, CA 94538, USA

KEYWORDS pore sealing, ultralow-k dielectrics, Cu interconnects, initiated chemical vapor deposition (iCVD), back-end of line (BEOL) process.

ACS Paragon Plus Environment

1

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 23

ABSTRACT Semiconductor integrated circuit chip industries have been striving to introduce porous ultralow-k (ULK) dielectrics into the multilevel interconnection process in order to improve their chip operation speed by reducing capacitance along the signal path. To date, however, highly porous ULK dielectrics (porosity > 40%, dielectric constant (k) < 2.4) have not been successfully adopted in real devices because the porous nature causes many serious problems including non-continuous barrier deposition, penetration of the barrier metal, and reliability issues. Here a method that allows porous ULK dielectrics to be successfully used with a multilevel interconnection scheme is presented. The surface of the porous ULK dielectric film (k = 2.0, porosity ~ 47%) could be completely sealed by a thin (< 2 nm) polymer deposited by a multistep initiated chemical vapor deposition (iCVD) process. Using the iCVD process, a thin pore-sealing layer was localized only to the surface of the porous ULK dielectric film, which could minimize the increase of k; the final effective k was less than 2.2 and the penetration of metal barrier precursors into the dielectric film was completely blocked. The pore-sealed ULK dielectric film also exhibited excellent long-term reliability comparable to a dense low-k dielectric film.

To scale down the interconnect line width in the integrated circuit (IC) chip, the dielectric constant (k) of inter/intra-layer dielectrics (ILDs) must also be decreased to reduce the signal delay. One effective way to reduce k is to introduce ‘voids’ – whose k is 1 in theory – in the dielectrics and the lowest k of ILDs used in real device production to date is ca 2.4.1 The International Technology Roadmap for Semiconductors (ITRS) forecasts that the k value down to 1.9 needs to be secured in year 2020.2 To achieve such an extremely low k value, 50% or even

ACS Paragon Plus Environment

2

Page 3 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

higher porosity (ϕ) must be incorporated in the dielectrics.3,4 However, increasing the ϕ and the pore size in the dielectrics causes many critical problems. For example, the decrease in mechanical strength of the porous ultralow-k (ULK) dielectrics may cause a premature package failure during chip-package interactions.4 Penetration of Cu and barrier metal precursors deep into the porous dielectrics is also a serious issue, which would hamper the precise control of critical dimensions (CDs) and the formation of continuous barriers, resulting in reliability degradation in ICs.5,6 Recently, therefore, pore-sealing of the porous ULK dielectrics has been intensively studied. While many types of methods have been proposed for this purpose, the drawbacks of each suggested method, such as an excessive increase of the dielectric thickness,7,8 dielectric damage by plasmas,9-12 a conformality issue,13 and difficulty in scalability,11 have limited their practical application to mass production. From the industrial perspective, the poresealing layer (PSL) must satisfy various requirements, such as a minimal effect on the low-k nature of the porous ULK dielectrics, ultra-thinness of the PSL to maximize the conductor volume in a given size of line pitch, negligible damage to the dielectrics during the pore-sealing process, conformal coverage in narrow line structures, and extendibility to advanced technology nodes. Here, we propose a pore-sealing method where a vapor-phase deposited polymer film via iCVD is introduced. The mild, damage-free process enables conformal deposition of PSL onto the porous ULK dielectric films.14,15 The deposition mechanism of the iCVD process on the porous ULK dielectric film is illustrated in Figure 1. Vaporized monomers and initiators, which are 1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane (V3D3) and tert-butyl peroxide (TBPO) in this study, are injected simultaneously into an iCVD chamber, where the initiators are decomposed into radicals by heated filaments. The reactive radicals trigger the monomers adsorbed on the

ACS Paragon Plus Environment

3

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 23

porous dielectric surface to undergo a free radical polymerization reaction, leading to a thin polymer film grown conformally from the surface.16-18 The conformal coverage makes the deposition process suitable for pore-sealing of the fine-patterned porous dielectric layer. The low process temperature – typically less than 40°C – is also highly desirable for back-end of line (BEOL) processes with a considerably limited thermal budget. Most of all, the plasma-free deposition process can minimize the damage to porous ULK dielectric materials, which can, in turn, efficiently suppress the increase of k value caused by the plasma-induced damage.19-21 Under this consideration, we first examined the possibility of the iCVD process inducing damage to the porous ULK dielectrics by the thermally formed radicals. The dielectric surface was first exposed to the radicals generated during the iCVD process. For this purpose, only the initiators were injected into the iCVD chamber without the monomer flow, and a porous ULK dielectric film (ϕ ~ 47%, k = 2.0) was exposed to the reactive radical ambient for 10 min. No change in the k value, refractive index (n), thickness, or ϕ of the film was detected even after the radical exposure (Figure S1a and Table S1, see supporting information), confirming the damage-free nature of the iCVD process. Before and after the exposure to the radicals, Fourier transform infrared spectra (FT-IR) and C, O, and Si depth profiles of time-of-flight secondary ion mass spectroscopy (TOF-SIMS) of the porous ULK dielectric film were also nearly identical to each other (Figure S1b,c). These observations clearly illustrate that the iCVD process does not modify the original properties of the porous ULK dielectric film. The capability of the iCVD process to synthesize many kinds of thin polymer dielectrics had been demonstrated previously.22-24 For the pore-sealing of the porous ULK dielectric film in this study, poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) was chosen as a pore sealant, due to its inherent low-k characteristic (kpV3D3 = 2.2), which is beneficial to minimize the increase

ACS Paragon Plus Environment

4

Page 5 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

of the effective dielectric constant (keff) by the application of PSL.24 In addition, the ϕ of pV3D3 estimated by ellipsometric porosimetry (EP) was only 3.9% (Figure S2), which is even lower than that of dense low-k materials (typically 6-8%).25 These properties of pV3D3 make it a suitable material for PSL. RESULT AND DISCUSSION To maintain the initial low-k characteristic, it is ideal to limit the pore-sealing at the surface of the porous ULK dielectrics, while keeping most of the internal pores unsealed. Therefore, the thicknesses of the polymer-filled domain in the porous dielectric and the polymer overcoat layer must be minimal, which are highly dependent upon the deposition conditions of the iCVD polymer (Figure 1). To investigate the effect of the iCVD process conditions on the deposition profile of the iCVD polymer on the porous ULK dielectric film, the ϕ and keff of the pV3D3deposited ULK film were monitored with the variation of the substrate temperature (Ts) and the process pressure (P) of the iCVD process with a pre-set deposition time (Figure 2a,b). A distinct Ts and P-dependency on the ϕ and keff was observed: the pV3D3 deposition at decreased Ts induces a lower ϕ but accompanied by a large increase of keff. The increase of P also yields similar results. Such observations clearly illustrate that a lower Ts and a higher P is preferred for effective pore-sealing of the porous ULK dielectric film (Figure 2a), but the keff increase of the pore-sealed ULK film is also inevitable (Figure 2b). In the iCVD process, the Ts and P values are all deeply associated with the amount of adsorbed monomers on a substrate; the decrease in Ts and the increase in P facilitates the adsorption of the monomers on the target substrate.18 The volatility of the monomer also directly affects the monomer adsorption. Previous reports have clearly demonstrated that a fractional saturation ratio of the monomer vapor (Pm/Psat), where Pm and Psat are the monomer partial pressure and the saturation vapor pressure of the corresponding

ACS Paragon Plus Environment

5

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 23

monomer, respectively, can be used as a direct measure of the surface concentration of the adsorbed monomers in iCVD.17,18,26 It is worthwhile to note that Psat, which represents the volatility of corresponding species, is dependent upon temperature.27 Therefore, the Pm/Psat strongly affects the growth rate of the iCVD polymer on the substrate.17,18,26 Figure 2c shows the dependency of the ϕ and keff values of the pV3D3-deposited ULK dielectric film on the Pm/Psat. As expected, the high Pm/Psat condition induces increased monomer adsorption, resulting in hermetic pore-filling of the porous film, inevitably with a significant keff increase. Figure S3a-c show representative scanning transmission electron microscopy (STEM) images of the pristine and pV3D3-deposited ULK dielectric films with low Pm/Psat (< 0.01) and high Pm/Psat (> 0.10) conditions, respectively (see supporting information). Depending on the Pm/Psat, the pV3D3 deposition profiles on the porous ULK dielectric films are quite different from each other. At a low Pm/Psat condition, a homogenous distribution of pV3D3 into the porous ULK dielectric film was observed (Figure S3b). At the low Pm/Psat regime, the adsorption of the V3D3 monomers is significantly suppressed and the adsorption rate is far lower than the polymerization rate, enabling conformal deposition of the polymer throughout the porous film, which is consistent with previous observations.16-18 On the other hand, at a high Pm/Psat condition, pV3D3 was deposited in a non-homogeneous manner. The deposited polymer was highly localized at the top surface of the porous film and dense sealing of the surface pore was achieved by pV3D3, as shown in Figure S3c. This observation suggests that pV3D3 is preferably formed at the top surface under the high Pm/Psat condition.16 In this condition, a large amount of the V3D3 monomer can be adsorbed and it entirely fills the pores of the porous film. Subsequently, polymerization occurs from the film surface due to the higher accessibility of the radicals to the V3D3 monomers in the surface pores. Therefore, a considerable amount of unreacted monomers

ACS Paragon Plus Environment

6

Page 7 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

or partially reacted oligomers might also be trapped in the bottom side of the porous film after the surface polymerization. This was assessed by checking the n of the pore-sealed ULK dielectric film. If the bottom porous area remained empty, the n in Figure S3c should be ~1.30, but the experimentally estimated n was 1.45, which strongly indicates the presence of trapped monomers or oligomers in the bottom pores of the porous ULK dielectric film (see Figure S4). Consequently, the trapped species induced a severe increase in the keff (Figure 2c). The presence of the trapped unreacted species could also be confirmed by thermal post-treatment. Since the trapped species, preferably generated at the high Pm/Psat condition of the iCVD, are volatile, they are easily desorbed out from the pores by the thermal post-treatment. As a result, the ϕ and the n of the pV3D3-deposited ULK dielectric film were changed significantly after the thermal posttreatment at 200°C (Figure 2d,e). On the other hand, the changes of the ϕ and n of the pV3D3deposited ULK dielectric film at a low Pm/Psat condition were not as distinct, because in this adsorption-determining iCVD process condition, most of the adsorbed V3D3 monomers were converted to polymer during the iCVD and only a small amount of the unreacted species remained inside the porous film (Figure 2d,f). The observation above demonstrates that the iCVD enables surface-localized polymer deposition on the porous ULK dielectric film, but a single-step iCVD process is not sufficient to form a stable PSL due to the entrapped species in the bottom pores. To overcome this limitation, we propose a multistep deposition strategy to achieve stable pore-sealing (Figure 3a). At a reasonably high Pm/Psat condition (~0.06), a thin pV3D3 polymer layer was deposited at the surface of the porous ULK dielectric film, followed by a thermal post-treatment to desorb out the entrapped species from the bottom pores through the surface polymer layer. With this procedure, the surface of the porous ULK dielectric film is partially sealed (see Figure S5). In the multistep

ACS Paragon Plus Environment

7

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 23

deposition strategy, this polymerization-desorption cycle is repeated to gradually reduce the surface porosity of the porous ULK dielectric film until hermetic surface-localized pore-sealing is achieved, as seen in Figure 3b,c. The variation of the n and keff of the porous ULK dielectric film gradually decreased as the number of polymerization-desorption cycles increased, indicating that the V3D3 monomer penetration into the porous ULK film was hampered gradually by the pore-sealing. The n and keff were saturated in 7 cycles to values of 1.28 and ~2.2, respectively (Figure 3b,c). The saturated n value, which is far lower than 1.45, indicates that negligible trapped species were in the bottom pores (see Figure S6). The low keff also indicates that the bottom side of the film was still porous even after the formation of PSL at the film surface. The slight increase in keff is attributed to the pV3D3 PSL at the film surface. More specifically, since the pV3D3 overcoat region (< 2 nm) is negligibly thin compared to the total film thickness (~90 nm), the pV3D3 filled ULK region mainly contributes to the increase in keff, where k of the pV3D3 filled ULK region is the highest in the pore-sealed structure (see Figure S7). But still the saturated keff is only ~10% higher than that of the pristine ULK dielectric film (k = 2.0), which is much lower than that of the state-of-the-art ULK dielectric material adopted by industries to date (k = 2.4). The pore-sealing behavior could also be monitored by EP measurement (Figure S8).28 In the multistep deposition strategy, the optical property variation of the porous ULK dielectric film was gradually suppressed as the cycle number increased, showing that the diffusion of volatile species into the porous film is blocked more efficiently due to the increasingly sealed surface. Figure 3d,e show a set of exemplary STEM images of the pristine and pore-sealed (cycle 7) ULK dielectric films, respectively. To investigate the pore-sealing performance, titanium nitride (TiN) diffusion barriers were deposited on each pristine and pore-sealed film via atomic layer deposition (ALD). Deep penetration of the ALD TiN was clearly observed in the pristine

ACS Paragon Plus Environment

8

Page 9 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

film, but was completely blocked in the pore-sealed ULK dielectric film. The increased film thickness at the 7th cycle was only ~2 nm, which is in a good agreement with the thickness measured by spectroscopic ellipsometry (SE) (see Figure S9). Electron energy-loss spectroscopy (EELS) and Rutherford backscattering spectrometry (RBS) results are shown in Figure 3f and Figure S10, respectively. Strong Ti signals were detected along the entire region of the pristine ULK dielectric film, while the Ti signals completely disappeared in the pore-sealed films after the 3rd cycle of the multistep deposition strategy. The EELS and RBS results strongly suggest that the required cycle number of the multistep deposition strategy to seal the porous ULK dielectric surface from the penetration of the barrier metal precursors can be much smaller than 6-7, at which n and keff settled at the stable values. This is applicable to broader sets of ALD Ti and Ta precursors as discussed in Figure S11 and Table S2. Furthermore, the increased film thicknesses are practically negligible and the keff values are lower than 2.2 at the early cycles (e.g. 3-5), which is highly desirable for further industrial application. In addition, note that the surface of the pore-sealed ULK dielectric films by iCVD pV3D3 is extremely smooth. The root-meansquared roughness (Rq) value is lower than 0.5 nm (Figure S12), which is of great importance to secure reliable deposition of a following barrier layer to the surface of the pore-sealed ULK dielectric film. The long-term reliability of the pore-sealed ULK dielectric films was evaluated using a constant electrical field (E) stress test to the dielectric layer in the metal-insulator-semiconductor (MIS) structure at a fixed temperature of 130°C (Figure 4a). The pore-sealed ULK dielectric films with the 3rd and 7th cycles of the multistep deposition strategy, which represent the sealing points for the barrier metal precursors and V3D3 monomers, respectively, were tested. An e-beam evaporated platinum was selected as a top electrode metal to ensure no metal diffusion into the

ACS Paragon Plus Environment

9

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 23

dielectric layer under the E stress. A dense low-k dielectric film (ϕ = 7.2%, k = 2.7), which has been typically used in the current IC technology nodes, was also tested as a control sample. The pore-sealed ULK dielectric films exhibited extremely low leakage current densities (J) of 0.5 5×10-10 A/cm2 at E = 1 MV/cm, which is over 40 times lower than that of the pristine ULK dielectric film (J = 2×10-8 A/cm2 at E = 1 MV/cm). Remarkably, J of the pore-sealed ULK dielectric films are comparable to that of the dense low-k film (Figure 4b). Furthermore, timedependent dielectric breakdown (TDDB) characteristics showed that the pore-sealing via the iCVD significantly improves the long-term reliability of the porous ULK dielectric (Figure 4c). Lifetime extrapolation, based on the E-model,29 suggest that the pristine ULK dielectric film cannot meet the 10-year lifetime requirement, while the pore-sealed films with the 3rd and 7th cycles of the multistep deposition strategy can last more than 10 years at E < 2.68 and 3.25 MV/cm, respectively (Figure 4d), which is important for future interconnect schemes where poor dielectric reliability will be the major concern owing to the extremely narrowed line spaces. CONCLUSIONS In conclusion, a surface-localized, thin pV3D3 PSL was successfully formed on porous ULK dielectric surfaces by a multistep deposition strategy, a repetitive process consisting of the polymerization of the surface monomers via iCVD and the desorption of the entrapped volatile species inside of the porous film. We believe that this strategy is extendable to lower k materials (k < 2.0) with highly increased porosity and enlarged pore size, thanks to the pore-sealing mechanism, which fills the entire pores with the monomers first to form the PSL and selectively removes the remaining species inside of the porous film. METHODS

ACS Paragon Plus Environment

10

Page 11 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

iCVD process for pV3D3 films: V3D3 (Gelest, 95%) and TBPO (Aldrich, 97%). The flow rates of V3D3 and TBPO were 2.43 and 1.14 sccm, respectively. For the mechanism study of the iCVD process on porous ULK dielectric films, the Ts was varied from 40 to 200°C and the P was varied from 100 to 2500 mTorr. The deposition time was fixed to 600 s except the thermal instability test where the deposition time was varied from 10 to 3600 s. During the multistep deposition strategy experiments, the iCVD process conditions were fixed at Ts = 40°C, P = 100 mTorr, and the deposition time = 60 s. The Pm/Psat of the iCVD process in each cycle of the multistep deposition strategy was fixed to 0.06. Initial porous ULK dielectric film: Porous ULK dielectric wafers where porous SiCOH layers are deposited on 300 mm Si wafers by plasma-enhanced chemical vapor deposition were provided by Lam Research Corporation. The thickness, ϕ, and average pore radius of the porous ULK dielectric film were 88-90 nm, 45-48%, and ~2.3 nm, respectively. ALD of titanium nitride: The deposition of TiN was conducted in an 8” dual shower head type chamber (Atomic Premium, CN1 Co.) with an assistance of ammonia (NH3) plasma. Tetrakis(dimethylamido)titanium (TDMAT) precursors and high purity NH3 (99.9999 %) reactants were used. To generate the plasma, a direct capacitive coupled plasma (CCP, 13.56MHz) source was used. The plasma power was fixed at 200 W. For the ALD deposition, precursor, purging gas (Ar), reactant, and purging gas were sequentially exposed through the shower head. The temperature of a bubbler, which contains the TDMAT precursors, was maintained at 50°C. Ar gas (50 sccm) was used as a carrier gas to supply the TDMAT precursors to the substrate. The base pressure was 10 mTorr and the process pressure was set to 100 mTorr. The deposition temperature was fixed at 200°C and the deposition rate of TiN was about 3 Å/cycle.

ACS Paragon Plus Environment

11

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 23

Characterization of keff, ϕ, film thickness, n, physical/chemical structures, and metal penetration: To extract the keff of the pristine and pore-sealed ULK dielectric films, capacitance-voltage (C-V) characteristics were measured by fabricating a MIS structure. A Pt dot was chosen as a top metal layer to prevent possible metal diffusion during a voltage biasing. A precision LCR meter (Agilent Technologies, E4980A) was used to measure the C-V characteristics. The ϕ of the pristine and pore-sealed ULK dielectric films were measured by EP (SemiLab Co. Ltd., SOPRA EP5). Toluene was used as an analysis solvent. Here, the ϕ indicates open porosity, which means a volume fraction of open pores, into which a liquid or gas can penetrate, over the total volume of the porous film. More details can be found elsewhere.30 The film thickness and n were measured by SE (J. A. Woollam Co., M-2000D). The physical structure of the pristine and poresealed ULK dielectric films were observed by double Cs-corrected transmission electron microscopy (FEI Company, Titan Cubed G2 60-300). The chemical structure of the pristine and pore-sealed ULK dielectric films were analyzed by FT-IR. The penetration of ALD precursors was analyzed by EELS. ASSOCIATED CONTENT Supporting Information. Basic dielectric characteristics, evidences of damage-free nature of iCVD process, basic mechanism of ellipsometric porosimetry, calculation of effective refractive index, changes of porosity, thickness, and surface roughness of porous dielectric films via multistep deposition strategy, Rutherford backscattering spectroscopy analysis. AUTHOR INFORMATION Corresponding Authors *E-mail: [email protected], *E-mail: [email protected]

ACS Paragon Plus Environment

12

Page 13 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Author Contributions S.J.Y., K.P., S.G.I. and B.J.C. designed the overall experiments and analyzed the experimental results. S.J.Y. and B.J.C. carried out device fabrication and characterization. K.P. and S.G.I. carried out the iCVD film deposition. T.N. and H.K. carried out the ALD TiN deposition. A.Y. of Lam Research Corporation provided porous ULK dielectric wafers. S.J.Y., K.P., S.G.I., and B.J.C. wrote the manuscript. All Authors read and commented on the manuscript. B.J.C. and S.G.I. equally contributed as corresponding authors. ⊥S.J.Y. and K.P. equally contributed as main authors. ACKNOWLEDGMENT This work was supported by Lam Research Corporation research grant. REFERENCES (1) Sankaran, S.; Arai, S.; Augur, R.; Beck, M.; Biery, G.; Bolom, T.; Bonilla, G.; Bravo, O.; Chanda, K.; Chae, M.; et al. A 45 nm CMOS node Cu/Low-k/ Ultra Low-k PECVD SiCOH (k=2.4) BEOL Technology. IEEE International Electron Devices Meeting (IEDM) 2006. (2) International Technology Roadmap for Semiconductors 2.0, 2015 Edition, Interconnect: http://www.itrs2.net/itrs-reports.html. (3) Grill, A.; Gates, S. M.; Ryan, T. E.; Nguyen, S. V.; Priyadarshini, D. Progress in the Development and Understanding of Advanced Low k and Ultralow k Dielectrics for Very LargeScale Integrated Interconnects—State of the art. Appl. Phys. Rev. 2014, 1, 011306. (4) Grill, A. PECVD Low and Ultralow Dielectric Constant Materials: From Invention and Research to Products. J. Vac. Sci. Technol. B. 2016, 34, 020801.

ACS Paragon Plus Environment

13

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 23

(5) Elshocht, S. V.; Delabie, A.; Dewilde, S.; Meersschaut, J.; Swerts, J.; Tielens, H.; Verdonck, P.; Witters, T.; Vancoille, E. ALD Barrier Deposition on Porous Low-k Dielectric Materials for Interconnects. ECS Transactions 2011, 41, 25-32. (6) Verdonck, P.; Delabie, A.; Swerts, J.; Farrell, L.; Baklanov, M. R.; Tielens, H.; Van Besien, E.; Witters, J.; Nyns, L.; Elshocht, S. V. Fundamental Study of Atomic Layer Deposition in and on Porous Low-k Films. IEEE International Interconnect Technology Conference (IITC) 2011. (7) Peng, H.-G.; Chi, D.-Z.; Wang, W.-D.; Li, J.-H.; Zeng, K.-Y.; Vallery, R. S.; Frieze, W. E.; Skalsey, M. A.; Gidley, D. W.; Yee, A. F. Pore Sealing by NH3 Plasma Treatment of Porous Low Dielectric Constant Films. J. Electrochem. Soc. 2007, 154, G85-G94. (8) Goethals, F.; Baklanov, M. R.; Ciofi, I.; Detavernier, C.; Voort, P. V. D.; Driessche, I. V. A New Procedure to Seal the Pores of Mesoporous Low-k Films with Precondensed Organosilica Oligomers. Chem. Commun. 2012, 48, 2797-2799. (9) Aimadeddine, M.; Arnal, V.; Roy, D.; Farcy, A.; David, T.; Chevolleau, T.; Posseme, N.; Vitiello, J.; Chapelon, L. L.; Guedj, C.; Brechet, Y.; Volpi, F.; Torres, J. Effect of CH4 Plasma on Porous Dielectric Modification & Pore Sealing for Advanced Interconnect Technology Nodes. IEEE International Interconnect Technology Conference (IITC) 2006, 81-83. (10) Kobayashi, A.; Ishikawa, D.; Matsushita, K.; Kobayashi, N. Pore-sealing Process initiated by Self-assembled Layer for Extreme Low-k SiOCH (k=2.0). IEEE International Interconnect Technology Conference (IITC) 2013. (11) Sun, Y. ; Levrau, E.; Zhang, L.; Geypen, J.; Meersschaut, J.; Franquet, A.; Le, Q. T.; Marneffe, J.-F.; Bender, H.; Struyf, H.; Detavernier, C.; Baklanov, M.; Feyter, S. D.; Armini, S.

ACS Paragon Plus Environment

14

Page 15 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Stuffing-Enabled Surface Confinement of Silanes used as Sealing Agents on CF4 plasmaExposed 2.0 p-OSG Films. Microelectron. Eng. 2015, 137, 70-74. (12) Xie, B.; Chan, K.; Cui, D.; Ren, H.; Raj, D.; Hollar, E.; Baluja, S.; Rocha, J.; Naik, M.; Demos, A. Restoration and Pore Sealing of Low-k Films by UV-Assisted Processes. IEEE International Interconnect Technology Conference (IITC) 2014, 335-338. (13) Ono, S. S.; Kayaba, Y.; Tanaka, H.; Wachi, H.; Inoue, K. Formation of Ultra-Thin Pore Seal Layer on Porous Low-k Films. MRS Proceedings 2015, 1791, 7-13. (14) Alf, M. E.; Asatekin, A.; Barr, M. C.; Baxamusa, S. H.; Chelawat, H.; Ozaydin-Ince, G.; Petruczok, C. D.; Sreenivasan, R.; Tenhaeff, W. E.; Trujillo, N. J.; Vaddiraju, S.; Xu, J.; Gleason, K. K. Chemical Vapor Deposition of Conformal, Functional,and Responsive Polymer Films. Adv. Mater. 2010, 22, 1993-2027. (15) Tenhaeff, W. E.; Gleason, K. K. Initiated and Oxidative Chemical Vapor Deposition of Polymeric Thin Films: iCVD and oCVD. Adv. Funct. Mater. 2008, 18, 979-992. (16) Baxamusa, S. H.; Gleason, K. K. Thin Polymer Films with High Step Coverage in Microtrenches by Initiated CVD. Chem. Vap. Deposition 2008, 14, 313-318. (17) Lau, K. K. S.; Gleason, K. K. Initiated Chemical Vapor Deposition (iCVD) of Poly(alkyl acrylates): A Kinetic Model. Macromolecules 2006, 39, 3695-3703. (18) Lau, K. K. S.; Gleason, K. K. Initiated Chemical Vapor Deposition (iCVD) of Poly(alkyl acrylates): An Experimental Study. Macromolecules 2006, 39, 3688-3694.

ACS Paragon Plus Environment

15

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 23

(19) Baklanov, M. R.; de Marneffe, J. F; Shamiryan, D.; Urbanowicz, A. M.; Shi, H.; Rakhimova, T. V.; Huang, H.; Ho, P. S. Plasma Processing of Low-k Dielectrics. J. Appl. Phys. 2013, 113, 041101. (20) Lionti, K.; Volksen, W.; Magbitang, T.; Darnon, M.; Dubois, G. Toward Successful Integration of Porous Low-k Materials: Strategies Addressing Plasma Damage. ECS J. Solid State Sci. Technol. 2015, 4, N3071-N3083. (21) de Marneffe, J. F.; Zhang, L.; Heyne, M.; Lukaszewicz, M.; Porter, S. B.; Vajda, F.; Rutigliani, V.; el Otell, Z.; Krishtab, M.; Goodyear, A.; Cooke, M.; Verdonck, P.; Baklanov, M. R. Vacuum Ultra-violet Damage and Damage Mitigation for Plasma Processing of Highly Porous Organosilicate Glass Dielectrics. J. Appl. Phys. 2015, 118, 133302. (22) Moon, H.; Seong, H.; Shin, W. C.; Park, W.-T.; Kim, M.; Lee, S.; Bong, J. H.; Noh, Y.Y.; Cho, B. J.; Yoo, S.; Im, S. G. Synthesis of Ultrathin Polymer Insulating Layers by Initiated Chemical Vapour Deposition for Low-power Soft Electronics. Nat. Mater. 2015, 14, 628-635. (23) Seong, H.; Pak, K.; Joo, M.; Choi, J.; Im, S. G. Vapor-Phase Deposited Ultrathin Polymer Gate Dielectrics for High-Performance Organic Thin Film Transistors. Adv. Electron. Mater. 2016, 2, 1500209. (24) Pak, K.; Seong, H.; Choi, J.; Hwang, W. S.; Im, S. G. Synthesis of Ultrathin, Homogeneous Copolymer Dielectrics to Control the Threshold Voltage of Organic Thin-Film Transistors. Adv. Funct. Mater. 2016, 26, 6574-6582. (25) Baklanov, M. R.; Ho, P. S.; Zschech, E. Advanced Interconnects for ULSI Technology; Wiley; Hoboken, NJ, USA, 2012.

ACS Paragon Plus Environment

16

Page 17 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

(26) Brunauer, S.; Emmett, P. H.; Teller, E. Adsorption of Gases in Multimolecular Layers. J. Am. Chem. Soc. 1938, 60, 309-319. (27) Atkins, P.; de Paula, J. Atkins’ physical chemistry; Oxford University Press; Oxford, U.K., 2006. (28) Baklanov, M. R.; Mogilnikov, K. P.; Polovinkin, V. G.; Dultsev, F. N. Determination of Pore Size Distribution in Thin Films by Ellipsometric Porosimetry. J. Vac. Sci. Technol. B 2000, 18, 1385-1391. (29) Croes, K.; Wu, C.; Kocaay, D.; Li, Y.; Roussel, Ph.; Bommels, J.; Tőkei, Zs. Current Understanding of BEOL TDDB Lifetime Models. ECS J. Solid State Sci. Technol. 2015, 4, N3094-N3097. (30) Baklanov, M.; Maex, K.; Green, M. Dielectric Films for Advanced Microelectronics; Wiley; Hoboken, NJ, USA, 2007.

ACS Paragon Plus Environment

17

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 23

Figure 1. A schematic illustration of the pore-sealing of a porous ULK dielectric film via iCVD process. (i) First, vaporized monomers (V3D3) and initiators (TBPO) are injected and (ii) the monomers are adsorbed on the porous ULK dielectric film. (iii) The initiators are thermally dissociated into radicals by heated filaments (~200°C). (iv) The adsorbed monomers are converted into a polymer film (pV3D3) by free radical polymerization.

ACS Paragon Plus Environment

18

Page 19 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Figure 2. Deposition mechanism of pV3D3 on porous ULK dielectric films via iCVD process. (a) the porosity and (b) keff of the pV3D3 deposited ULK dielectric films with various Ts and P conditions. (c) The dependency of the porosity and the keff on Pm/Psat of the iCVD process. A strong trade-off relationship between the porosity and keff is clearly seen. (d) Changes of the porosity and n of the pV3D3 deposited ULK dielectric films before and after the thermal posttreatment at 200°C with various Pm/Psat conditions. (e) At the high Pm/Psat condition, the entrapped monomer and volatile oligomers in the bottom pores of the film are desorbed from the pores during the thermal post-treatment. (f) At the low Pm/Psat condition, on the other hand, the conformally deposited pV3D3 morphology is maintained.

ACS Paragon Plus Environment

19

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 23

Figure 3. Optimized pore-sealing of the porous ULK dielectric via iCVD process. (a) A schematic diagram of the multistep deposition strategy, enabling the formation of a thin PSL atop the porous ULK dielectric film and the removal of the entrapped V3D3 monomers/oligomers in the film. Ts, P, and deposition time of the iCVD process are 40°C, 100 mTorr, and 60 s for each cycle. The thermal post-treatment conditions are 200°C, 5 min at a N2 ambient. (b,c) Changes of (b) n and (c) keff of the pore-sealed ULK dielectric film to which multiple cycles of the iCVD and thermal post-treatment processes are applied. (d,e) STEM crosssectional images of (d) the pristine and (e) the pore-sealed (with 7 cycles of the iCVD/thermal post-treatment) ULK dielectric films. The ALD TiN, ULK dielectric layer, and Si wafer are falsely colored with yellow, green, and purple, respectively. (f) EELS line profiles representing

ACS Paragon Plus Environment

20

Page 21 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

Ti signals in the ULK dielectric layers of the pristine and pore-sealed films with various cycle numbers of the iCVD/thermal post-treatment. Complete blocking of the Ti penetration into the ULK dielectric layer is observed in pore-sealed ULK dielectric films with higher cycle number than three of the multi-step depsition strategy. Data of cycles 4-6 are omitted since they are the same as that of cycle 7.

ACS Paragon Plus Environment

21

ACS Nano

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 23

Figure 4. Electrical reliability of the pristine ULK, pore-sealed ULK (cycle 3 & 7), and a dense low-k dielectric films. (a) A schematic illustration of the device structure to characterize the electrical reliability. (b) Leakage current density (J) vs. electrical field (E) characteristics of the pristine ULK, pore-sealed ULK (cycle 3 & 7), and the dense low-k dielectric films, respectively. The significantly lowered J are clearly observed in the pore-sealed ULK dielectric films, which are even comparable to that of the dense low-k dielectric film. The E scanning rate was 0.1 MV/cm·s (c) Time-dependent dielectric breakdown (TDDB) characteristics under a constant electric field (E = 5.0 MV/cm) and test temperature (Ttest) = 130°C. (d) Lifetime projection based on E-model, showing that the dielectric lifetime is significantly improved through the pore-sealing by iCVD.

ACS Paragon Plus Environment

22

Page 23 of 23

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Nano

TOC graphic

ACS Paragon Plus Environment

23