THINNING FLAT PANELS - Chemical & Engineering News Archive

Jun 27, 2005 - WHEN AIRLINE PILOT NICK JAFFE AND HIS WIFE, Chris, went shopping in Hong Kong to replace their television set a few weeks ago, they ...
1 downloads 0 Views 6MB Size
COVER STORY

BUYERS Visitors look at the latest models of flat-screen televisions at the Flat-Panel Display Trade Show in Taipei in June. The show was open to the public.

THINNING FLAT PANELS Materials suppliers are thrilled by the flat-panel industry's growth, but are under pressure to trim back on costs JEAN-FRANÇOIS TREMBLAY, C&EN HONG KONG

W

HEN AIRLINE PILOT NICK JAFFE AND HIS WIFE,

Chris, went shopping in Hong Kong to replace their television set a few weeks ago, they did not do what producers of flat-screen TVs are expecting. After looking at all the models, the Jaffes left the shop with a traditional 2 9-inch cathode-ray tube set instead of one of the newer models based on plasma or liquid-crystal technology "The difference in price was just too big," Jaffe says. 20

C & E N / J U N E 2 7 . 2005

In Japan, South Korea, Taiwan, and, increasingly, China, consumer electronics manufacturers are investing billions of dollars to build enormous plants to mass-produce flat-panel displays at the lowest possible cost. They are hoping that, unlike the Jaffes, most consumers will opt for the fancier models when they go shopping for a new set. It is still not certain that costs can be brought down low enough to bridge the price gap and keep these new plants fully operational. "The central question is, Will consumers buy these flat-panel TVs?" says Corning F. Painter, regional vice president for electronics in Northeast Asia at Air WWW.CEN-0NLINE.ORG

has a low internal voltage, whereas a plas­ ma display uses high voltage. Notably for chemical makers, an LCD requires a wider range of materials than does a plasma screen. To manufacturers of either type of display, chemical companies supply things such as industrial gases, specialty electronic gases, OPTIMISM about growth in the rare gases, wet and high-purity flat-panel industry is warranted. chemicals, polarizers, methyl Over the past decade, sales of f-;.: methacrylate plastics, color fil­ flat-panel displays have increased ters, liquid crystals, alignment 25-30% annually, driven first by layers, spacers, barrier ribs, pho­ sales of flat computer monitors, toresists, chemical mechanical and now by the growth of the planarization slurries, and an thin-TV market. SwetaDash, di­ ever-lengthening list of other f rector of LCD and projection materials and components. research at electronic market re­ ELECTRONIC search firm iSuppli who has been Display manufacturers' ap­ CHEMICALS covering the flat-panel industry petite for materials is having a for 10 years, expects that, by 2009, glob­ transforming effect on the companies they al sales will reach 18 million plasma display order from. At Tokyo Ohka Kogyo (TOK), traditionally a supplier of materials to the units and 55 million liquid-crystal display semiconductor industry, sales to flat-pan­ (LCD) units. This year, she expects 4.5 mil­ el display makers now account for 40% of lion plasma panels and 16 million LCD total sales and may exceed 50% shortly ac­ panels to be sold. cording to KoichiTakahashi, a marketing Both plasma displays and LCDs consist manager for LCD materials. of layers ofvarious materials that are sand­ T O K is a leading supplier of semicon­ wiched between two sheets of glass. Both ductor photoresists, and it initially perceived the sup­ ply of resists to the LCD in­ dustry as a mere side busi­ ness. "The growth in our sales to display makers has surprised our management," Takahashi says. It's the same story at Fuji Display Materials, a sub­ sidiary of Japan's Fuji Film, where sales of display mate­ rials recently began to exceed those of semiconductor ma­ terials. The company has been selling its LCD color re­ sists to filter makers since 1989. At Sumitomo Chemi­ cal, one of four manufactur­ ers of polarizers for thin-film transistor-LCDs (TFTLCDs), sales of electronic materials are exceeding sales of agrochemicals and pharmaceuti­ BIG ENOUGH? Corning shows off its seventhcals for the first time. Spe­ generation glass sheet for liquid-crystal displays cialty chemical supplier Nitto at the FPD trade show in Taipei. Manufacturers Denko now derives threehave announced plans to make displays out of quarters of its operating in­ larger glass sheets. come from its electronic ma­ terials, the majority ofwhich are polarizers. work through electrical activation of some At JSR Corp., sales of display materials of the materials. In LCDs, it's liquid crys­ already exceed sales of semiconductor ma­ tals, which realign from horizontal to ver­ terials by 80%, says Seiichi Hasegawa, the tical when electro-activated. In a plasma display, a rare gas such as neon or xenon is JSR board member responsible for elec­ tronic materials. But he stresses that JSR activated and turned into plasma. An LCD

Products & Chemicals, a major supplier of raw materials used in flat-panel displays. "This question matters to our customers, and it matters to us because it ultimately determines how many sheets of glass are processed into televisions sets."

WWW.C.EN-0NLI NE.0RG

Organic Intermediates from FMC • Achieve high regioselectivity at virtually any position on ring

aldehydes, halides, boronic acids or other electrophiles Utilize direct lithiation, alpha lithiation, lithium-halogen exchange or directed orthometalation (DoM) protocols Now Available

Ν

^OCH 3

CHALLENGE

Ν

OCH3

Ν

CHO

Contact Us. We are "more than lithium."



Request more at AdlnfoNow.org C & E N / J U N E 2 7 , 2005

21

"Want a More Complete Way to Do Business?"

WACKER When it comes to service, what a company doesn't do is just as important as what it does. It's a fact that's particularly true for customers that demand more from suppliers than just materials. Although many now shy away from providing total service solutions, one company remains dedicated to offering you complete technological and customer support resources: WACKER. We're here to help you and your business scale the ladder of success. The global solutions you need are only one click away. To learn more, visit www.wackersilicones.com today. CREATING T O M O R R O W ' S SOLUTIONS Wacker Chemical Corporation 3301 Sutton Road Adrian, Ml 49221 TEL.: 1.800.248.0063 FAX: 517.264.8246 www.wackersilicones.com www. wacker.com

Request more at AdlnfoNow.org

is making a deliberate effort not to allow sales of display materials to to completely dominate the company's business. He adds that innovations achieved in semiconductor R&D can find applications in the flatpanel business. LCD manufacturers have been building increasingly larger production centers, not only to lower costs but also to produce larger television sets. Plasma TV manufacturers are getting good market response from their 42-inch models, which retail for about $1,500 in the U.S., and it's a size that LCD makers also want to supply As their scale increases, LCD facilities are labeled as first generation, second generation, et cetera. It takes at least a seventhgeneration plant to manufacture 40- and

GAS

42-inch LCD screens, iSuppli's Dash says. The difference between the various LCD plants is the size of the glass sheets they process. A sixth-generation facility can handle glass sheets measuring 1.5 meters by 1.8 meters, whereas a seventh-generation plant can process a sheet measuring 1.87 meters by 2.2 meters, or roughly the size of a king-size bed. The sheets of glass are cut before liquid crystals are inserted but after most of the layering work has been done. Japan's Sharp, South Korea's Samsung, and Taiwan's Chi Mei have all announced plans to build facilities processing still larger glass sheets. A key benefit of increasing the scale of production is reduced raw material costs, which account for about 60% of total

DELIVERY

Specialty Phosphines from FMC • Enable Stille, Suzuki, Heck, Negishi and Sonogashira reactions • Use of aryl chlorides vs. bromides • Increase catalyst turnover and efficiency producing higher yields Now Available

Arguing Over The Safety Of Fluorine

F

luorinated compounds are used to clean critical instruments like chemical vapor deposition (CVD) chambers in semiconductor and liquidcrystal disptay (LCD) plants. At display plants, fluorine is both an expensive raw material and a challenging one because of toxicity and reactivity concerns. Early on, the electronics industry opted to get its fluorine from hexafluoroethane. But the material is a greenhouse gas, and its use has faded. For nearly 10 years, the standard method of fluorine delivery to the CVD chamber has been nitrogen trifluoride, which is decomposed into fluorine and nitrogen immediately before use. The NF3 is made at a separate location. Earlier this month, BOC Edwards announced that it is launching an on-site fluorine generator specially designed for the LCD industry. Noel Leeson, the firm's president of electronic materials for Asia, says safety and reliability are ensured by adding what seems like too many safety features to the machines. Air Products & Chemicals, the largest supplier of NF3 to the electronics industry, does not offer such generators. To meet the demands of flat-panel makers, it recently expanded its facilities in the U.S. and is building an ammonia plant in South Korea, according to Corning F. Painter, regional vice president for electronics in Northeast Asia. Painter warns that it is too hazardous to deliver fluorine gas to a CVD chamber. The best piping systems can leak,

and there is a danger in storing the hydrogen fluoride that the generators require. The company's data show that fluorine is 2.5 times more toxic than chlorine gas. "F 2 is also very reactive, so it requires special considerations to contain it," he adds. NF3, by comparison, is no more harmful than mothballs, according to Air Products. BOC's Leeson says Air Products' response is understandable given that it has been investing large sums of money to expand its nitrogen trifluoride facilities. But he notes that the delivery of nasty gases to electronics manufacturers is commonplace, silane being a prime example. Fluorine has been generated on-site for years for customers in the chemical and surface treatment industries, Leeson says. He acknowledges that an onsite generator in the electronics industry would likely be located several hundred meters from the CVD chambers. But the gas is delivered in a dual containment pipeline, with the inner pipe—about half an inch in diameter—carrying fluorine at low pressure, and the outer pipe carrying nitrogen at a higher pressure. He adds that in the unlikely event of a burst pipe, the generator will shut down, and only the fluorine contained between two auto-shutoff valves will teak out. Leeson says fluorine delivery is a major focus for BOC Edwards. "In 20 years, you will see that every semiconductor and LCD plant will have its own on-site fluorine generator," he predicts.

^T^^B(OH)2

"KV OCI F3C

Contact Us. We are "more than lithium."

FMCLithium fmclithium.com +1.704.868.5300

[email protected] 1.888.lithium

Request more at AdlnfoNow.org WWW.CEN-0NLINE.ORG

C & E N / J U N E 2 7 , 2005

23

COVER STORY screen production costs. Ralf Fink, Hong facturing processes by using higher value in the flat-panel industry "It's a very com­ Kong-based head of strategy and technol­ chemicals, with the goal of overall lower petitive industry, and we have to be re­ ogy at BASF's electronic materials busi­ manufacturing cost. sponsive to our customers' concerns," he ness, says moving up the generations al­ TOK's Takahashi contends that cus­ says. If a customer asks for a price reduc­ lows producers to use materials more tomers respond poorly to such suggestions tion, O'Connor says he needs either to re­ efficiently Strengthened by its April ac­ and instead focus on buying their materi­ spond sympathetically or accept being shut quisition of Merck KGaAs electronic als at lower cost. "The performance of the out of the next round of contracts when the chemicals business, BASF supplies the dis­ material is less important than its price," he customer builds a bigger plant. play industry with wet chemicals including says. Moreover, he adds, the equipment Like BOC, JSR takes it as a given that developers and strippers, chemical me­ used to make flat-screen displays has more customers will relentlessly demand lower chanical planarization slurries, and func­ impact on manufacturing efficiency than prices. According to Hasegawa, nearly all tional chemicals such as the ones used in the type of material being used. the company's display R&D is geared to­ making compensation films. Some suppliers to the flat-panel indus­ ward helping customers lower their pro­ try enjoy pricing power. Hisato Ichimiya, duction costs. It's a substantial effort. JSR Painter says Air Products can deliver a sales manager at Teijin DuPont gases more cheaplv at bigger fa­ Films, says his company is one of cilities. In South Korea, Air Prod­ WELL-CONSTRUCTED only two suppliers of reflective ucts supplies Samsung's seventhBasic structure of color LCD films. Looking much like a regular generation LCD complex from Antireflective sheet of white plastic, the reflec­ a dedicated air separation plant. Retardation "coating tive film, made from polyethylene At the same site, the U.S. com­ film terephthalate, is critical to the per­ pany also delivers silane, ammo­ Hard-coat formance of an LCD. Toray In­ nia, and nitrogen trifluoride gas­ material Alignmentdustries used to own the critical es by pipeline to points of use Polarizer layer patents on the reflective film, inside the LCD plant. At smaller Glass substrate Ichimiya says, but licensed pro­ LCD plants, Air Products uses Color resist Spacers * Protective duction rights to Teijin DuPont last gas cylinders instead. Similarly, Retardation layer year. Both companies are produc­ TOK's Takahashi says usage re­ film Transistors ing flat out, he says, and Teijin quirements are so large in the Liquid" Polarizer DuPont already enjoys a 40% mar­ LCD industry that he can sell crystals Light-scattering ket share. photoresists in 200-Ldrums in­ film stead of the 2 0-L bottles that are While Teijin DuPont offers sev­ Light source Light guide more common in the semicon­ eral materials to the flat-panel in­ Reflective film ductor industry dustry, the reflective film is a new SOURCE: JSR Corp. product that demonstrates it is still But to keep their customers' possible for newcomers to muscle business, suppliers of materials into the display materials market. to the flat-panel industry need to be more spends nearly as much on display research There are other ways as well. At elec­ creative than just building bigger plants as it does in developing new semiconduc­ tronic materials supplier Nikko Materials, or delivering in larger containers. The rel­ tor materials, even though the cost of in­ salesman Rex Chen notes that his compa­ atively young flat-panel industry is subject strumentation in semiconductor research ny and its competitors are facing a short­ to boom-bust cycles of various durations. is higher, he says. age of the rare metal indium, a coproduct And owing to oversupply or slack con­ sumer demand, the whole industry can AT BAS F ρ Fink says his team responds to of zinc mining. Strong demand from the LCD industry has caused indium prices to operate at a loss for as long as six months. requests for lower prices by focusing on surge in the past two years, but it remains Dean O'Connor, business development the concept of total cost of ownership. In­ uneconomical to mine zinc just to obtain manager in BOC Edwards' flat-panel sec­ stead of lowering its prices, BASF invites indium. Indium is used to make indium tin tor, acknowledges that prices agreed up­ its customers either to adopt different oxide ingots. I T O is one of the first layers on in supply contracts don't mean much product grades or to modify their manu­ of materials deposited onto the glass sheet in constructing an LCD screen. Chen says anyone who discovers a material to replace indium will find a welcome place in the flat-panel industry Our derivatives have 30 year history. Materials suppliers based in Taiwan, ylphosphonic acid [ PPA ( or PPOA ) ] South Korea, or China have an easier time Alternative names in establishing themselves because new dis­ 1571-33-1 Benzene phosphonic acid \ _ _ _ / XOH play plants are mostly built there now Phenylphosphonic dichloride [ PPCL ( or BPOD ) ] Sharp is the only display maker still ex­ Alternative names CAS No. Benzene phosphorus oxydichloride panding capacity in Japan, and JSR's p \\ = / / xci 824-72-6 Benzene phosphonic dichloride Hasegawa expects competition from out­ Phenyl dichlorophosphine oxide side of Japan to increase. Q NISSAN CHEMICAL INDUSTRIES, LTD. "This is one of the reasons why we have Phone : +81-3-3296-8054 Fax : +81-3-3296-8360 E-mail : [email protected] started local production of LCD materials Homepage : http://www.nissanchem.co.jp in Korea and broke ground in Taiwan for

PHENYLPHOSPHONIC ACID AND ITS DERIVATIVES

Request more at AdlnfoNow.org 24

C & E N / J U N E 27, 2005

WWW.CEN-0NLINE.ORG

the same purpose onJune 1," he says. Similarly, Japan's Sumitomo Chemical has invested more than $1 billion in electronic materials facilities in South Korea over the past five years, and LG Chem launched production of LCD polarizers in South Korea two years ago. In Taiwan, Frank Wang, a sales manager at local color resist producer Eternal Chemical, says his firm has an advantage in marketing to Taiwanese display manufacturers. "We can offer better service, we have a common language, and we're more familiar with the local market," he says. But he admits that Eternal has a hard time parlaying this advantage into new sales. Customersfinditriskyto switch to a new supplier whose material might later prove unsuitable. Moreover, display manufacturing equipment made in Japan is often guaranteed to work only with certain Japanese materials. ANOTHER WAY ofbecoming a substantial materials supplier is to focus on newer display technologies that stand a chance of becoming the next plasma or liquidcrystal display. Teijin DuPont is doing this with its heatresistant polyethylene naphthalate film designed for use as a substrate for flexible displays. The technologies required for flexible displays are still emerging, but Icliimiya says Teijin DuPont's film is endorsed by the U.S. Display Consortium, a nonprofit group that focuses on display industry technology trends. Flexible displays may one day be used in the manufacture of lightweight laptop computers. The technology behind TFT-LCD and plasma displays is only a few years more mature than that of flexible displays. "The industry is still in its infancy and the devices are still subject to substantial changes," says Patrick X. Murphy, director of electronic specialty materials for Asia at Air Products. He expects LCD and plasma displays to increase in design complexity in coming years, providing opportunities to supply more materials. Paradoxically, display manufacturers need costs to continue to decline while the complexity increases. For the near future, until the price gap between traditional and flat-panel TVs narrows substantially, the display industry's basic trend will remain unchanged. Scales of production will increase, and cost pressure will remain intense. At times, for materials suppliers, it may feel much like the warning to new entrepreneurs that they can't sell at a loss and make it up on volume. •

Your Specialist for Hazardous Reactions.

dottikon EXCLUSIVE SYNTHESIS Dottikon Exclusive Synthesis AG P.O. Box, 5605 Dottikon, Switzerland, www.dottikon.com

Request more at AdlnfoNow.org WWW.CEN-0NLINE.ORG

C & E N / J U N E 2 7 , 2005

25

COVER STORY WELL-SUITED ATMI produces multiple dielectric materials at its Burnet, Texas, facility.

FORGING THE WAY TO HIGH-K DIELECTRICS Numerous electronic chemical producers are ready to supply hafnium-based materials

As semiconductor size shrinks in keeping with Moore's law, the Si0 2 transistor gate must be made thinner and thinner to maintain adequate capacitance across it. But below 2 nm or so, Derbyshire says, the gate becomes so thin that electrons can leak through and sap power from the device. A product like hafnium oxide, with a k value of about 30, gets around this problem because it can form a thicker gate that blocks electrons while maintaining capacitance. But the use of hafnium oxide presents fabrication challenges. "The problem," Derbyshire says, "is that silicon dioxide is a wonderful material to work with, and nothing else even comes close." For example, although S i 0 2 can be formed on silicon by simple thermal oxidation, hafnium oxide must be deposited with sophisticated chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques. Furthermore, because the deposition process involves volatilization, the hafnium must be sold as a volatile, typically organic, precursor.

THE RESULT IS that although semiconductor makers know they will have to adopt high-k dielectrics eventually, they want to put off the transition as long as possible. er member of the periodic table: hafnium. Hafnium-containing compounds are to- John Mestemacher, marketing manager for emerging materials at Air Products & day the leading contenders to become a new Chemicals, notes that hafnium-based dibreed ofsemiconductor material called highelectrics were first expected to debut with k dielectrics for their high dielectric conthe 65-nm generation of computer chips stant. A number of chemical companies are and then with the 45-nm generation. jockeying to become suppliers of these new compounds, knowing full well that they are Now, based on the state of development playing a perilous game because semiconat Air Products' customers, Mestemacher ductor makers try to hang on to familiar maexpects some of the industry won't adopt terials for as long as they can. them even at 45 nm. "I'm not surprised, because this stuff is hard High-k materials are being deto do," he says. "It's not just a matveloped for use in the transistor, ter of putting a new film down." the guts of the semiconductor. In fact, Paolo A. Gargini, InSpecifically, they will function as tel's director of technology stratthe gate insulator, a thin layer in egy, said at an electronics industhe transistor that separates the try meeting recendy that his firm gate, which turns current flow on was challenging its engineers to and off, from the channel through find away to delay the use ofhighwhich the current flows. k materials until the 32-nm genKatherine Derbyshire, founder ELECTRONIC eration, which, for Intel, will go of Massachusetts-based consultCHEMICALS | into production in 2009. Most ing firm Thin Film Manufacturother semiconductor makers are a year or ing, explains that for years, the semicontwo behind Intel in their production cycles. ductor industry used silicon dioxide as its On the other end of the spectrum, highgate insulator. Si0 2 , with a dielectric conk dielectrics are already here in the dystant, or k value, of 4.2, did the job pernamic random access memory, or DRAM fectly because it was well understood and market, which represents about 20% of was highly compatible with the transistor's the computer chip business. According to underlying silicon metal layer.

MICHAEL MCCOY, C&EN NORTHEAST NEWS BUREAU

I

N THE 1 9 8 0 S , THE TYPICAL SEMICON-

ductor contained silicon and a dozen other elements. By the 1990s, the figure had crept up to about 15. The year 2000, however, saw the start of an explosion in the use of new materials in semiconductor production. Driven by Moore's law—the prediction by Intel cofounder Gordon Moore that the number of transistors in a computer chip would double every two years—the semiconductor industry is plumbing the depths of the periodic table to find materials that will aid in that miniaturization. Patrick P. Gelsinger, senior vice president of Intel's digital enterprise group, told a Chemical Heritage Foundation symposium on the 40th anniversary of Moore's law last month that a new generation of semiconductors that Intel is launching later this year will contain 51 elements. Although silicon is still key to making semiconductors, Gelsinger said, today it functions much like a scaffold or frame on which to hang many more-exotic materials. The semiconductors that Intel will debut are known as the 65-nm generation for the width of the circuit lines they contain. When Intel's 45-nm generation comes out near the end of 2007, it may add yet anoth26

C & E N / J U N E 2 7 . 2005

WWW.CEN-0NLINE.ORG

Introducing the World's First

Three -Detector Spectrometers

Shimadzu's SolidSpec-3700 Series

Shimadzu's Advanced Technology and High Reliability Bring You the World's First 3-Detector Spectrophotometer: The Solution for the Optical, Semiconductor and FPD Fields H i g h S e n s i t i v i t y : The SolidSpec-3700 Series is the world's first and only UV-VIS-NIR Spectrophotometer with three detectors D e e p U V M e a s u r e m e n t : Capable of measuring the deep ultraviolet region down to 1 6 5 n m with added Direct Detection Unit and to 1 7 5 n m with the proprietary integrating sphere L a r g e S a m p l e C o m p a r t m e n t : Allows large samples to be measured without destroying the sample, and automation of samples up to 1 2 " sq by using the automated sample holder in both Transmission and Reflectance Modes U V P r o b e S o f t w a r e : Enables easy data analysis, processing and reporting functions. Also provides enhanced security features and an audit trail.

Shimadzu's SolidSpec-3700 Series offers the ultimate in sensitivity. Three detectors, a photomultiplier tube (PMT) detector for the UV-Vis region and InGaAs and PbS detectors for the NIR region, ensure high sensitivity during transmittance and reflectance measurements, even in the switchover range, and significantly reduce noise. W i t h a range of available accessories, including an automatic X-Y stage for automated measurements, a large sample c o m p a r t m e n t , Absolute Specular Reflectance attachments, and easy-to-use UV Probe software, the choice is obvious. To learn more about the SolidSpec-3700 Series, contact your regional representative, call 8 0 0 - 4 7 7 - 1 2 2 7 , or visit:

www.ssi.shimadzu.com/Solid

sa SHIMADZU Solutions for Science since 1875 SHIMADZU, 7 1 0 2 Rh/erwood Dr., Columbia, M D 2 1 0 4 6 , U.S.A. Phone: 4 1 0 - 3 8 1 - 1 2 2 7 or 1 ( 8 0 0 ) 4 7 7 - 1 2 2 7 · vwwtf.S8i.shimadzu.com

Order consumables and accessories on-line at http://store.shimadzu.com Request more at AdlnfoNow.org

COVER STORY Mestemacher, Japanese DRAM makers started using a tantalum oxide high-k dielectric a fewyears ago. Then in late 2003, South Korean DRAM makers introduced an aluminum oxide high-k material. This year, he says, the Koreans are transitioning to a combination of aluminum oxide and hafnium oxide. The larger logic semiconductor market breaks down into two main segments: highpower chips like Intel's Pentium processors that are used in personal computers and commercial servers, and low-power chips for battery-powered mobile devices like cell phones and laptop computers. Cynthia Hoover, director of electronics R&D at Praxair, acknowledges that customers "won't change until they absolutely have to." Nevertheless, she figures that makers of chips for mobile devices can ill afford the power-draining effects of Si0 2 dielectrics. Thus, Hoover anticipates that low-power chip makers will go high-k at the tail end of the 65-nm generation, in 2007 or so. High-power chip makers will be the final adopters, she figures, likely for the 45-nm generation. The material of choice in the logic mar-

c

CHEMALONG

R

The Right People,The Right Chemistry

Y0H

S^NH,

0H

OH

r

VSiH,

WNXSMe

R = H, CH3 CI

C0 2 Et

• Custom synthesis & chemistry contract research services • CONFIDENTIALITY guaranteed • Leveraging cost effectiveness & speed • Intermediates, analogues, standard & reference compounds (including isotope labeled), impurities, etc. • Rare chiral amino acid, nucleoside, amidite, phosphoinositol, and more ChemALong Laboratories, LLC 12305 New Ave., Suite K, Lemont, IL 60439 Phone: 630.257.9683; Fax: 630.257.9684 Inquiry: [email protected]

A N C I L L A R Y

C H E M I S T R Y

High-K Dielectrics Require New Etching Techniques

W

hile one group of companies is hard at work developing new high-k dielectrics, another group is working to come up with semiconductor etching and cleaning chemistries that complement these exotic new materials. High-dielectric-constant films based on hafnium oxide or hafnium silicate are poised to replace silicon dioxide in the transistors of the next generation of computer chips. An important step in building these transistors is etching away excess dielectric from their source and drain areas. Jeffery W. Butterbaugh, chief technologist at semiconductor equipment maker F5I International, explains that Si02 is easily removed with wet-etching baths based on hydrofluoric acid or on HF buffered with ammonium fluoride. Hafnium-based films, in contrast, are frustratingly impervious to the standard HF bath. In industry parlance, standard etchants have poor selectivity to hafnium—they eat away desired chip components like silicon and polysilicon as much as they do hafnium. In February, FSI received a U.S. patent for a new process based on a very dilute HF-based solution that is applied at elevated temperatures. Developed at the firm's Chaska, Minn., laboratories, the process effectively removes hafnium using existing equipment and has received "considerable interest" from manysemi-

ket is also still up in the air. In late 2003, chip maker Texas Instruments heralded hafnium silicon oxynitride (Hf SiON) as a successful new dielectric, while Intel announced a breakthrough of its own but didn't disclose a specific compound. According to Phil McGraw, product manager for the oxide/nitride group at the British materials firm Epichem, the "flavor of the month" today is either hafnium oxide (Hf0 2 ) or hafnium silicate compounds like HfSiO and Hf SiON. On top of betting on a particular hafnium compound, chemical companies have the added challenge of developing appropriate precursor molecules. These precursors are placed in a CVD or ALD chamber,

conductor makers, Butterbaugh says. Honeywell International is taking a different approach, according to Michael Tucker, program manager for Honeywell Electronic Materials' electronic chemicals division. At the company's Chandler, Ariz., labs, scientists have developed a patent-pending solvent that Tucker claims can etch hafnium quickly and effectively—and at near room temperature. Other than a fluorinated compound, he won't say what's in the solvent. Honeywell's product is intended to be used after dry etching based on ion sputtering has removed some of the unwanted hafnium. Butterbaugh says FSI's technique doesn't require such preetching when used on hafnium silicate films, although the technique does require pre-etching when used on wetetch-resistant hafnium oxide films. Indeed, although Butterbaugh and Tucker are competitors, they agree that hafnium silicate is an easier dielectric to etch than hafnium oxide. Partly for this reason, Tucker says, the semiconductor industry is gravitating toward hafnium silicate and related compounds, at least as the initial high-k material of choice. They also agree that the introduction of hafnium-based dielectrics is creating a ripple effect in the world of semiconductor materials. "It's just one layer of the chip," Tucker says, "but that one layer is requiring a significant shift in the types of chemistries needed."

where they are heated, vaporized, and uniformly deposited as hafnium oxide or silicate on a silicon surface. For the aluminum oxide dielectrics already being used in DRAM chips, one precursor is trimethylaluminum, which Air Products, for example, supplies through a distribution agreement with Akzo Nobel Polymer Chemicals, a leading manufacturer of metal alkyls. For hafnium oxide, candidate precursors include tetrakis (dimethylamino) hafnium, tetrakis (diethylamino) hafnium, tetrakis (ethylmethylamino) hafnium, and hafnium tetrachloride. To be a successful precursor, McGraw says, a compound must be able to survive

Request more at AdlnfoNow.org 28

C & E N / J U N E 2 7 ,

2005

WWW.CEN-0NLINE.ORG

announcement of success with Hf SiON. In 2001, AMTI opened a $30 million plant in Burnet, Texas, that, among other things, manufactures most of the leading hafnium precursors. More recently, ATMI developed a unique solid hafnium precur­ sor delivery system called ProE-Vap that Baum says is designed to help deposit hafni­ um tetrachloride, a leading candidate to ANOTHER UNCERTAINTY facing materi­ als suppliers is whether the semiconductor be used with the ALD technique. industry will adopt C V D or ALD as its Praxair launched its high-k program in high-k deposition method of choice. 2000, Hoover says, building on decades Thomas Baum, vice president of R&D at as a supplier of process gases and sputter­ materials supplier ATM I, explains that ing targets used in film deposition. Since ALD is a newer technology that is intrigu­ then, the company has beefed up support ing to chip fabricators because it uses a puls­ of the semiconductor industry by hiring ing technique to deposit incredibly thin lay­ chemists, chemical engineers, and deposi­ ers one at a time. CVD, on the other hand, tion scientists at its technology center in "is a standard technique and people are Tbnawanda, Ν. Υ. "We try to do as much as comfortable with it," Baum points out. we can here in our Tonawanda laborato­ ries so our customers can use their precious tool time on the most prom­ ising materials," she says. Hoover is bullish on a new Praxair line of hafnium compounds that promise less than 50 ppm zirconium, versus 1,000 to 2,000 ppm in many competing products. This was no easy task, she claims, because hafnium and zirconium are known as the two most difficult to separate elements on the periodic table. At Air Products, Mestemacher says his firm began investing in high-k ma­ terials in the late 1990s and launched its first joint development program with a customer in 2000. He says the company has installed pilot-scale equipment at its Carlsbad, Calif, fa­ cility that allows it to deposit high-k films from gram quantities of precur­ sors more quickly and less expensiveI N T H E C A N Air Products produces ly than a customer could with com­ dielectric and other electronic materials at mercial-scale equipment. its Carlsbad, Calif., facility. High-k dielectrics are coming, and Air Products is well positioned to supply Materials suppliers have the unenviable them, Mestemacher maintains. At the task of navigating multiple possible com­ same time, he is acutely aware of the pains binations of dielectric, precursor, and dep­ that electronic chemicals firms experi­ osition techniques while trying to convince enced a few years earlier as they piled into customers that they are the right partner a similar market—low-k dielectrics—only with which to advance in the high-k world. to watch adoption get pushed further and Not surprisingly, each firm maintains that further back when semiconductor makers it has the right technical background and tweaked familiar dielectrics to work longer. has made the appropriate investments to Mestemacher doesn't see high-k delays be that partner. extending as long. Yet he also knows the Baum, for example, notes that ATMI is semiconductor industry doesn't want to a veteran of the high-k arena, having gotten take any chances on new materials that its corporate start in the early 1990s with aren't ready. "You're talking about a part funding from the U.S. Department of De­ of the device that is right at the heart of fense's Defense Advanced Research Pro­ the electrical performance of the circuit," jects Agency, or DARPA. ATMI scientists he says. "It's really critical that they get published papers withlexas Instruments in this right." • 2001 and 2002 that led up to TI's 2003 the heating process but then be able to break down on command on the silicon substrate. It must also interact properly with the substrate so an amorphous rather than a crystalline film is formed. "Ή>ιι have a narrow window of stability with these precursors," he says.

Specialty Chemicals Custom Synthesis Laboratory^Chemkals Fine fct Specialty Chemicals

Ο

Ο

HO

OH

Glutaric Acid CAS# 110-94-1 [G0069]

Pimelic Acid CAS# 111-16-0 [P0435]

Suberic Acid CAS# 505-48-6 [O0023]

TCI Catalog

IE! TCI AMERICA 800-423-8616· 503-283-1681 Fax 888-520-1075· 503-283-1987 [email protected] www.tciamerica.com Head Office: TOKYO KASEI KOGYO CO., LTD www.tokyokasei.co.jp

Request more at AdlnfoNow.org WWW.CEN-0NLINE.ORG

C & E N / J U N E 2 7 . 2005

29

Days are too short, we can help you stretch the time www.rhodia-hpcii.com offers easy access to the solutions that you need. Enter www.rhodia-hpcii.com to access up to date and accurate information. • Find global and regional product information using our extensive Product Finder. • Register to access technical information, brochures, formulations, and presentations. • Create your own private e-room and organise information to fit your needs. • Contact us for solutions. Whether you make shampoos in Tokyo or hard surface cleaners in Chicago; you will discover how we help our customers stay ahead of competition. To learn more about Rhodia products and services you can also call at 1-888-776-7337.

(Rhodia Home, Personal Care & Industrial

Ingredients Request more at AdlnfoNow.org

CHALLENGING

BOUNDARIES

COVER STORY

DATASTORAGE IN 3-D Holographic data storage has made enormous technical advances, but still awaits a market ALEXANDER H. TULLO, C&EN NORTHEAST NEWS BUREAU

C

OMPANIES DEVELOPING HOLO-

graphic data storage systems have made enormous technical advances toward their goal ofin­ venting an optical data storage system with far greater storage capacity and faster access to data than even bluelaser digital versatile discs (DVDs). The first commercial applications, though modest, are feasible in the short term. And polymer companies, recognizing a nascent market, are keen on leveraging their ex­ pertise for the technology But it will be years before the average person owns a holographic disc drive. Con­ ventional DVDs only recently became commonplace. Blue-laser DVDs, now be­ ing commercially introduced, have been slow to take off because the mass market doesn't need them yet. Holographic data storage will have to wait its turn. The shorter wavelength of the bluelaser DVD versus the conventional red-laser DVD enables smaller and closer pits—representing bits of data—to be stored along the two-dimensional plane of the disc. This advance leads to a fivefold increase in the amount of data that the laser can read on the disc. Holographic data stor­ age works on a completely dif­ ferent principle. In it, a signal—or object—beam is encoded with digital information using a spatial light modula- ° tor. It makes an optical interference pattern £ with a reference beam, originating from ? the same laser, to form the data-encoded 2 hologram. A light-sensitive material, usu- | allyaphotopolymerizable medium, records * the hologram. The data can be retrieved s later by scanning with a beam identical to the reference beam. Lisa Dhar, vice president of media de­ velopment with InPhase Technologies, one of the leading developers of the tech­ nology, explains that instead of encoding a 2-D surface as in conventional DVDs, a holographic storage system can write in­ formation throughout the entire volume of a storage medium such as a disc. "Here, www.CEN-ONLINE.ORG

what you are doing is writing macroscop­ ic spots on the order of 1 to 2 mm in di­ ameter," she says. "But within that spot you are writing diffraction gradients that have patterns of nodes and peaks of a few hundred nanometers. So you are actually storing within that spot something on the order of a hundred to a thousand data pages." InPhase started out as a research group within Lucent Technologies, Bell Labora­ tories and was spun off in 2000. Although the exact chemistry of InPhase's media technology is being kept as a trade secret, Dhar says the company uses a two-com­ ponent system. One is a photopolymerizable monomer; the other is a cross-linked polymer matrix that provides structure. IN APRIL, the company demonstrated a prototype that holds 200 gigabits per square inch of storage capacity. The company is planning to com­ mercially launch a disc next year that has

ly, we have a lot of expertise in the area." There's a chemistry fit for Bayer as well. "These are materials that we are also fa­ miliar with. We have a polymer platform that is very much at the heart of the In­ Phase technology," Bach says, although Bayer doesn't currendy sell InPhase's exact materials. Bayer even has a history with holo­ graphic data storage in the form of a re­ search program it ran in the 1990s focus­ ing on polymers with azobenzene side chains. The problem was that Bayer fo­ cused on rewritable materials—a subtler chemistry requiring reversible polymer­ ization reactions—rightfromthe start. "It was too many technology jumps at the same time," Bach says. Another company that has been devel­ oping media for holographic data storage is Aprilis ofMaynard, Mass. The company, which was spun offfromPolaroid in 1999, is using epoxy-modified siloxy silane chem­ istry, according to Glenn Horner, vice pres­ ident of business development. Cationic ring-opening polymerization is induced in the siloxy silanes through a photoacid gen­ erator. The medium also contains a siloxy silane binder and is sandwiched between two glass substrates. In 2002, the company unveiled a 120mm disc capable of 200 GB of storage ca­ pacity and a 200-MB-per-second data transfer rate.

H0L0DISC Ι η Phase's holographic data storage disc will launch next year.

a capacity of 300 gigabytes. By the end of the decade, it hopes to have a 1.6-terabyte disc ready Ablue-laser DVD, by contrast, maxes out at 100 GB of capacity, Dhar says. Moreover, InPhase claims a da­ ta transfer advantage, with its first commercial product boasting a data transfer rate of 20 megabytes per second. It is planning to improve this to 120 MB. Blue-laser DVDs are capable of transfer­ ring about 12 MB per second, Dhar says. In April, Bayer MaterialScience signed a joint development agreement with In­ Phase and purchased an equity stake in the company for $5 million. Hermann Bach, head of technologies for the Americas at Bayer MaterialScience, says holographic data storage is a natural fit for the firm, which provides polycarbonate resin to the DVD market. "We are very much in the business ofproviding materials for optical data storage," he says, "and consequent-

Dow Corning signed a supply agree­ ment with Aprilis in 2003 and is also one of the major investors in the company. Dow Corning runs a ventures arm that develops new technologies for siliconbased materials, as well as a photonics program that targets next-generation technologies such as optical circuitry "We are always on the lookout for new pho­ tonics applications," says Peter Lo, R&D manager of the firm's photonics program. "And, of course, the Aprilis technology fits quite well." Like Bayer, Dow Corning has chemical expertise applicable to holographic data storage, namely epoxy-modified siloxy silanes, which it already uses for paper re­ lease coatings and optical waveguides. "The way the Aprilis materials are made is a core competency," Lo says. THE CHEMISTRY ofholographic datastor­ age has been challenging and, in the opin­ ion of HansJ. Coufal, a researcher at IBM Almaden Research Center, still needs reC & E N / J U N E 27, 2005

31

COVER STORY finement for large-scale commercial applications. "We are getting close," he says. IBM has been involved with holographic data storage since the 1990s as part of two consortia working with the U.S. military's Defense Advanced Research Projects Agency (DARPA). Aprilis was also involved with the projects. "We established a protocol for testing materials: measuring bit-error rates, for example, so you can quantify the quality of the holographic data storage," Coufal says. Coufal says the optical media has to be long lasting—perhaps up to 100 years for data archiving applications—and able to withstand the same conditions as magnetic tape. Also, the materials have to be cost-effective. Some of the earlier holographic data storage experiments used lithium niobate crystals, which aren't very sensitive and can only be written by lasers that cost upward of $50,000. T h e slight shrinkage that typically comes with polymerization poses a problem for today's holographic data storage photopolymers, Coufal says. "If you illuminate it with the same light that you use for recording, you reconstruct an object

only about 61 million blue-laser recorders beam that is not quite what you used for are expected to be shipped globally by recording," he explains. 2009. "If it is taking a long time for Blu-ray Coufal considers the approaches taken to catch on, it is an indication that conby Aprilis and InPhase to be the two best sumers don't have a demand for solutions to this problem so far. writing high quantities of data InPhase uses the cross-linked yet," Horner acknowledges. matrix to maintain the integrity of the system. Aprilis, Horner Already, one developer of explains, uses the cationic ringholographic data storage, a U.K. opening polymerization, which firm named Polight that used chemically compensates for the an inorganic chemistry, has increase in density that typicalgone out of business for want ly accompanies polymerization. of funding. This is why InPhase will work Another horizon for the on archival markets in the chemistry of holographic data ELECTRONIC meantime, Dhar says. "The instorage is the development of CHEMICALS formation technology market is rewritable media. The product looking for something better than tape that InPhase is launching next year will not for archiving,,, she says. ""Vbu can see the be rewritable. In 2002, though, the firm technology starting out in the archiving received a grant from the National Instimarket and then moving into the contute of Standards & Technology's Advanced sumer market." Technology Program to develop a rewritable disc. Aprilis has a similar strategy "It did not make a lot of sense for us to get something The real limitation of holographic data out there, have a market that is relatively storage is not the technology, however, but limited, and then struggle along until the marketplace. Holographic technology 2010," says Horner, who considers massis meant to eventually replace blue-laser market use of holographic data storage to DVD. And, according to IMS Research, be in "a holding pattern."

A U S T I N , TEXAS

Magnificent, 131,818 sq. ft., fully air-conditioned, six-building, high-tech/R&D facility on an expandable 40 acres • Concrete block, brick, tilt-wall panels, stainless steel and glass walls • Ceiling heights to 24' clear • Deionized dual water filtration system and wastewater treatment • 33 wet laboratories • Ideally suited for users in chemicals, biotechnology, health sciences, computer hardware, and research and development • Located minutes to Interstate 35 and serviced by Austin-Bergstrom International Airport FOR COMPLETE DETAILS CONTACT:

BINSWANGER 1200 Three Lincoln Centre, 5430 LBJ Freeway, Dallas, TX 75240 972-663-9494 · Fax: 972-663-9461 · E-mail: [email protected] 160 Offices Worldwide www.binswanger.com/austin2

TO GENERATE revenue, Aprilis is leveraging the holographic technology for other applications. Horner says the firm is taking advantage of "searching by optical correlation," whereby the signal and the reference beams are switched and holographic information matching the data coded on the signal beam gives the reference beam as the output, allowing the search to be done quickly on the media itself. Aprilis is working on a system using holographic data storage and optical correlation that could conduct a 100-GB search in five seconds. The same search could take half an hour by scanning information from tape memory or a hard drive and loading it into a computer for processing. Aprilis is using this technology to scan biométrie data like fingerprints and is approaching users such as the Department of Homeland Security It's possible, however, that companies working on holographic technology may not need such ancillary applications for long. Given the unpredictable nature of technology, Dow Coming's Lo points out, the momentum could suddenly shift in holographic data storage's favor. ""Vbu need a killer application," he says. Lo is confident that the technology can be ramped up when the need arises. "We have the capability built," he says, "so we are all waiting." •

Request more at AdlnfoNow.org 32

C & E N / J U N E 2 7 . 2005

WWW.CEN-0NLINE.ORG