Ultrafast Self-Assembly of Sub-10 nm Block Copolymer

Jun 9, 2017 - Laser spike annealing was applied to PS-b-PDMS diblock copolymers to induce short-time (millisecond time scale), high-temperature (300 t...
4 downloads 12 Views 8MB Size
Forum Article www.acsami.org

Ultrafast Self-Assembly of Sub-10 nm Block Copolymer Nanostructures by Solvent-Free High-Temperature Laser Annealing Jing Jiang,† Alan G. Jacobs,‡ Brandon Wenning,§ Clemens Liedel,‡ Michael O. Thompson,‡ and Christopher K. Ober*,‡ †

Department of Chemical and Biomolecular Engineering, ‡Department of Materials Science and Engineering, and §Department of Chemistry and Chemical Biology, Cornell University, Ithaca, New York 14853, United States ABSTRACT: Laser spike annealing was applied to PS-bPDMS diblock copolymers to induce short-time (millisecond time scale), high-temperature (300 to 700 °C) microphase segregation and directed self-assembly of sub-10 nm features. Conditions were identified that enabled uniform microphase separation in the time frame of tens of milliseconds. Microphase ordering improved with increased temperature and annealing time, whereas phase separation contrast was lost for very short annealing times at high temperature. PMMA brush underlayers aided ordering under otherwise identical laser annealing conditions. Good long-range order for sub-10 nm cylinder morphology was achieved using graphoepitaxy coupled with a 20 ms dwell laser spike anneal above 440 °C. KEYWORDS: block copolymers, laser annealing, directed self-assembly, PS-b-PDMS, graphoepitaxy



0.26−0.34,18 much larger than that of the extensively studied PS-b-PMMA (χ ∼ 0.06).19−21 The presence of silicon in the PDMS block also gives the polymers excellent oxygen plasma etch resistance, and helps to drive the high χ parameter and the resulting small domain size. Indeed, silicon containing polymers have been studied since the early development of BCP lithography, though typically as pendent groups on styrene blocks22 or via polydimethylsiloxane backbones.20 Silicon-containing block copolymers are well-studied for DSA applications. Among the first BCPs studied for DSA, Thomas and colleagues investigated the block copolymer polyisoprene-block-poly(pentamethyldisilylstyrene) and showed that a hexagonal array of spheres could be achieved.23 Oxygen etch resistance was good in the poly(pentamethyldisilylstyrene) domains because of the presence of silicon. Exquisitely ordered PS-b-PDMS arrays have also been produced by Ross and coworkers using nanoscale guiding posts. In a series of papers, they showed that highly ordered arrays could be achieved using both thermal and solvent vapor annealing.8,19 Willson,24 and separately Hayakawa,25,26 have studied the problem of directing the microphase segregation orientation in silicon-containing block copolymers. Willson and colleagues studied polymers such as polystyrene-block-poly(trimethylsilylstyrene) and showed that, with the appropriate

INTRODUCTION Block copolymers (BCP), which can self-assemble into nanoscale domains with various morphologies including spheres, cylinders, and lamellae, are considered viable candidates for next-generation lithographic patterning materials. While not yet used in production, the application of block copolymers for pitch doubling, “via” narrowing, and device fabrication has been successfully demonstrated and is currently being tested in pilot manufacture. By modifying either the substrate chemistry or surface topology with an appropriate periodicity to serve as an external driving force, BCPs can be directed to develop dense repetitive structures with long-range order. The ordered features that can be achieved include hexagonally arranged dots, parallel line-space patterns, and more complex structures such as jogs, T-junctions, or bends.1−5 Because of these capabilities, and its low cost relative to imaging-tool-dependent patterning methods, directed selfassembly (DSA) of block copolymers has in recent years been extensively studied in applications as diverse as photoresists,6−8 organic photovoltaics (OPV),9,10 membranes,11−13 and controlled drug delivery.14−16 Of particular interest to the semiconductor industry, DSA has been examined for high volume manufacturing as an alternative method to achieve sub-20 nm features.17 However, high-resolution (small feature size) patterning will require BCPs with low molecular weights and a high χ interaction parameter. One group of BCPs that can achieve these requirements is silicon-containing polymers such as polystyrene-block-polydimethylsiloxane (PS-b-PDMS). This system has strong interactions with a reported room temperature χ of © XXXX American Chemical Society

Special Issue: Block Copolymers for Nanotechnology Applications Received: January 21, 2017 Accepted: May 31, 2017

A

DOI: 10.1021/acsami.7b00774 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Forum Article

ACS Applied Materials & Interfaces

Figure 1. (a) Schematic of laser spike annealing. A line focused CW laser is scanned across the surface to transiently heat the BCP with thermal quench of heat into the substrate as the beam passes. (b) PS-b-PDMS block copolymer studied in this work. X ≈ 160 (16 kg/mol); Y ≈ 80 (6 kg/ mol).

heating of Si and polymer film by interband absorption, and subsequent rapid cooling (quench) by thermal conduction into the substrate. The entire heating and cooling process is completed within several milliseconds (Figure 1a), with heating and cooling durations determined by the scan velocity. The dwell time, defined as the laser full-width at half-maximum (fwhm) in the scan direction divided by the scan velocity, is used as a metric of the heating time. Peak anneal temperatures, which are functions of the incident laser power, beam focus parameters, and dwell time, were measured and calibrated directly using previously reported protocols.33,34 Data are reported both as absolute laser powers (Watts) and as the peak temperature reached during the thermal cycle. Peak temperatures should be used to compare results as changing system parameters impact the laser power to temperature calibrations.

top-coat, vertical orientation of the lamellar microstructures was possible.24 Hayakawa and associates alternatively showed that, by selection of a suitable complementary block25 or by tailoring substituents on the PDMS block,26 it was also possible to induce spontaneous alignment of the desired microstructure during the spin-coating process. In both cases, surface interactions were controlled to achieve the vertical orientations. Hayakawa et al., using a complementary fluorinated block, were able to match the low surface energy of PDMS to enable vertical orientation of the domain walls.25 In an additional study, Hayakawa et al. included a few polar groups on the PDMS block to enable favorable interaction with the substrate and again induce the vertical orientation.26 Although high χ materials are thermodynamically driven to phase separate, the actual processes of microphase segregation and especially long-range ordering and alignment may be kinetically limited by the need to anneal and remove high energy trap states. In conventional thermal annealing, elevated temperatures approaching the thermal degradation limit of the polymers are required.27 Even approaches using solvent vapor annealing to increase chain mobility28,29 generally require hours to form long-range-ordered structures. Rapid thermal annealing has also been studied using both short time (seconds) microwave radiation30,31 and furnace heating.32 Alternatively, as discussed here, laser spike annealing (LSA) may be used for BCP self-assembly because of the effective increase in a polymer’s stability by hundreds of degrees on millisecond time scales, and the concomitant increase in diffusivity and reaction rates.33−35 Laser annealing (Figure 1a) is an ultrafast heating method which was first used in shallow junction annealing36−38 and recently has been applied to several organic polymer systems.39,40 More recently, researchers have also used laser heating to anneal low χ BCPs35,41 as well as high χ BCPs in the presence of solvent vapor.42 While the studies of laser annealing of high χ BCPs showed a switch in the segregated block copolymer morphology from spherical micelles to cylinders in the ten-millisecond time frame, long-range ordering and alignment were not reported. In this work, we examine the use of LSA to microphase segregate and align PS-b-PDMS BCP thin films on bare and polymer-brush-coated silicon wafers. It should be noted that the entire process occurs above the glass transition temperature (Tg) of the PDMS block (−124 °C), and thus we are largely focused on providing mobility to the polystyrene domains during the laser annealing cycle. This arguably makes PS-bPDMS an ideal polymer for this type of annealing experiment. In this solvent free process, a line focused diode laser beam (λ = 980 nm) is scanned across the Si wafer, resulting in rapid



RESULTS AND DISCUSSION The ordering and alignment of BCPs is a complex interplay between the thermodynamics and dynamics of microphase separation, long-range ordering with potential chemical or topological bias, and ultimately the kinetics of defect elimination. The driving force for microphase separation is related to χN, where χ is the temperature-dependent Flory− Huggins parameter and N is the degree of polymerization of polymer. Working with high-χ PS-block-PVP monolayers and bilayers, Hammond et al. observed that annealing at temperatures just below the order−disorder transition temperature (TODT) led to greater disorder, primarily from development of a higher equilibrium concentration of dislocations, and at higher temperatures disclinations, in the monolayer of cylinders.43 They argued that these defects arose from equilibrium considerations rather than from kinetic constraints. In equilibrium, if BCPs are first heated to temperatures above the TODT to remove all micro- and macro-order (defect annihilation) and then cooled and held at an annealing temperature below TODT, the degree of ordering should increase at decreasing annealing temperature.43,44 Because diffusion at low temperature falls exponentially as D ≈ D0 exp(−ΔE/RT), there is a lower limit to anneal temperatures where films have sufficient mobility to establish long-range alignment correlations while simultaneously maintaining equilibrium defect popultions.27 However, by annealing at temperatures above TODT to annihilate all kinetically trapped defects and then thermally quenching rapidly to low temperatures, it may be possible to produce a material with long-range order developing during the rapid quench but with insufficient time to establish complex equilibrium defect concentration. B

DOI: 10.1021/acsami.7b00774 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Forum Article

ACS Applied Materials & Interfaces

Figure 2. Segregation behavior of PS-b-PDMS under (a) vacuum oven anneal for 11 h, (b) hot plate for 5 min at 230 °C, and (c) LSA thermal anneals at 380 °C. For the LSA, the dynamics of the system led to (d) a complex segregation and ordering dynamic.

Figure 3. SEM images of laser annealing 250 °C (45 W) for 10 ms film composed of PS16-PDMS6 on Si substrates with different surface modifications: (a) bare Si with native SiO2 layer, (b) PMMA brushes, (c) PS-co-PMMA brushes, (d) PS brushes, (e) PS brushes grafted by laser 250 °C (45 W) for 10 ms. Surface brushes for films b−d were prepared by hot plate annealing. Brush thickness is listed for samples b−d. All resulting films are nearly identical (except for the native oxide film).

for the hot plate annealing at 230 °C, but required 5 orders of magnitude less time. Given the relatively fast cooling processes in both cases, the ordering correlation length during both hot plate annealing and laser annealing are likely limited by diffusion in the microphase segregated polymer rather than reflecting the equilibrium defectivity. Figure 2d shows the measured laser-induced temperature profiles for several different dwell times with a schematic of ordering regimes, and total order development, during an LSA anneal. The heating rate, peak temperature and cooling (quench) rates are determined by the scan velocity (noted as dwell time in this work) and laser power (related to the peak temperature). For peak temperatures near 380 °C with dwells of 5, 10, and 20 ms, the heating rates are approximately (8 ± 2) × 104 °C/s, (4 ± 1) × 104 °C/s and (2 ± 1) × 104 °C/s respectively, followed by a thermal quench at rates approximately an order of magnitude lower at the 50% peak temperature point. Because of its rapid cooling rate, LSA can be considered more accurately a quench relative to the typical cooling rate encountered after oven or hot plate annealing. Microphase segregation and long-range ordering can occur at temperatures between Tg and the order−disorder transition (ODT at TODT); above TODT both any microphase order and any existing long-range correlations will dissipate rapidly. We hypothesize that the LSA conditions leading to long-range order require heating temperatures above TODT for very short times (ms) as shown in the “mixing” regime of the figure; this elimination of existing structure in the polymer is, we believe, potentially critical to the effectiveness of the process as it can completely erase any previously trapped defects. Once the temperature falls below TODT, but remains above Tg, the sample is thermodynamically stable in the microphase segregated state and will seek to develop long-range correlations of the

This work explores this new regime of BCP quench and order formation. Given the relatively high energy of traps in high χ materials,45 and the time scale of laser annealing, a cylinder forming PS-bPDMS (Mw = 22 kg mol−1, PDI = 1.1, f PS = 33%) was chosen (Figure 1b), because each polymer chain moves shorter distances compared to lamellar morphologies during the ordering process.46 The polydimethylsiloxane (PDMS) block contained 23% polyvinylmethylsiloxane by volume, with the included vinyl groups potentially serving either to cross-link the block, in order to lock in structures after processing, or to enable functionalization for future applications. To establish laser spike annealing as a method for thermal processing of PS-b-PDMS block copolymers, a comparative study of: (i) annealing in a vacuum oven at a modest temperature, (ii) annealing on a hot plate, and (iii) laser spike annealing was carried out. The resulting samples were gently etched using an oxygen plasma prior to SEM imaging. Figure 2a shows microphase segregation for a sample heated in vacuum at 170 °C for 11 h and cooled rapidly to room temperature without quenching. Despite the fact that both phases at this temperature were above their respective Tg’s, worm shaped short cylinders from microphase segregation were observed; however, little long-range ordering of the patterns occurred, which is consistent with the work of Hammond et al. for highly trapped defect concentrations.43,44 In contrast, hot plate annealing of a similar sample at 230 °C for only 5 min (also subsequently rapidly cooled to room temperature) led to substantial enhanced long-range ordering as seen in Figure 2b, consistent with the higher diffusivity associated with the higher anneal temperature. A 10 ms laser spike anneal at 45 W (Figure 2c) to a peak temperature of 380 °C (peak heating rate of 3.8 × 104 °C/s) yielded a microstructure very similar to that observed C

DOI: 10.1021/acsami.7b00774 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Forum Article

ACS Applied Materials & Interfaces microphase segregation in order to fully minimize the free energy. To the degree these correlations develop via nucleation and growth type mechanisms, more complex correlations associated with defect structures can be kinetically suppressed in the rapid quench. The influence of substrate surface modification on the resultant thin film BCP morphology was also evaluated during laser annealing. Previous studies by Ross and colleagues revealed that a PDMS polymer brush significantly improved alignment of PS-b-PDMS cylinders in confined structures compared to a PS brush.20 Due to the low surface energy of PDMS (19.8 mJ/m2) compared to PS (40.7 mJ/m2),20 PS-bPDMS films naturally tend to form an upper PDMS-air interface. PDMS also tends to wet a native SiO2 surface, resulting in an enhanced PS volume fraction in the center of spun films. Consequently, no parallel cylindrical morphology was observed after LSA annealing of films on bare (native oxide) Si surfaces or on PDMS modified substrates. Thus, a PS preferred polymer brush modification of the substrate is critical (Figure 3a). Poly(methyl methacrylate) PMMA, PS-co-PMMA random copolymer, and PS brushes were applied to Si wafers, before spinning the BCP, to promote the formation of cylindrical PS morphologies. As seen in Figures 3b, c, ordering of BCP is better with these PMMA containing polymer brushes, despite the fact that PMMA is not normally used for the PS-b-PDMS system. PMMA has a high surface energy of 41 mJ/m2 (similar to PS), which should induce segregation of PS to the substrate interface to minimize interfacial energy. However, the weak interaction between the PS block and these surface PMMA brushes enables a higher chain mobility, thus leading to better ordering during laser annealing. The PS brushes behaved similar (Figure 3d) to the PMMA containing brushes with welldeveloped fingerprint patterns. Figure 3e shows results for a brush that was formed using laser annealing at 250 °C (45 W) for 10 ms to chemically bind PS−OH to Si, instead of the standard bake in a vacuum oven overnight. This resulted in a PS brush only 5 nm thick, but ultimately led to a similar level of BCP ordering. Analogous to isochronal furnace anneals, laser annealing cycles with a constant 10 ms dwell were used to anneal the BCP thin films over a range of peak temperatures. At low temperatures, below ∼220 °C, the polymer chains cannot escape their initial (nonequilibrium) state46 and no cylindrical morphologies were observed (Figure 4). At 20 W (∼145 °C) and 30 W (215 °C), poorly microphase segregated and partially dewetted films were observed. As the power was increased and the temperature rose above 300 °C, samples began to show expected ordered microstructures which continued to improve up to peak temperatures near 500 °C. This behavior can be understood qualitatively by considering the temperature and ordering history illustrated by schematic plots in Figure 5, and the earlier discussion associated with Figure 3. Due to the short heating times (dwell) and the rapid quench of thermal energy into the substrate, the time scales for heating and cooling of the films are comparable leading to complex behavior. As temperatures rise above Tg, polymer chains become mobile and begin to diffuse to establish longrange ordering of the microphase segregated morphology, with the order continuously increasing as long as temperatures remain below TODT (Figure 5b). Above TODT, the thermodynamic driving force for phase segregation disappears and diffusion of the polymer chains leads to intermixing of the two

Figure 4. Order developing as a function of peak temperature under isochronal LSA anneals (10 ms dwell). Critical onset temperature for order development above 300 °C.

Figure 5. Schematic plot of the ordering process for different conditions. (a) Time temperature profiles for three annealing conditions. (b) Ordering characteristic as a function of time for these conditions. Under short dwell annealing, heating and cooling rates are comparable. Between Tg and TODT, the ordering increases, whereas above TODT, the ordering decreases. With sufficient time above TODT, the order will be entirely lost before redeveloping as temperatures drop below TODT. The ultimate ordering thus depends critically on the time above TODT and the cooling rate to Tg.35

blocks. As a result, any initial order, and any order that developed during heating, is partially or fully lost during the time the system remains above TODT. Indeed, with sufficient time above TODT, the order can be completely destroyed. Upon cooling, microphase segregation occurs rapidly with long-range correlated order developing as the sample cools from TODT to Tg. This behavior has been quantitatively observed using GISAXS in the PS-b-PMMA system.35 At very high cooling rates, a “fuzzy” phase boundary may occur which would lead to image blur in formed patterns due to remnant mixing at the interface. However, at slower cooling rates, phase separation will be sufficient to re-establish a sharp interface with nearcomplete microphase segregation. Figure 6 shows ordering of PS-b-PDMS films as a function of laser power (temperature) and dwell. As temperatures increase D

DOI: 10.1021/acsami.7b00774 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Forum Article

ACS Applied Materials & Interfaces

additional time for the long-range ordering processes. As ordering is influenced by a dwell time at the same peak temperature, we believe any improved ordering observed after laser annealing is related to the ability to remove kinetically trapped defects by cycling above TODT, and by the inability to nucleate the equilibrium concentration of new defects due to rapid quench. The generation of equilibrium defect structures can occur only with both long-range diffusion and cooperative organization, kinetic processes that require time unavailable in these experiments. To quantitatively assess effects of laser spike annealing on the resulting microstructure, grazing incidence small-angle X-ray scattering (GISAXS) was used to characterize the thin film samples (Figures 7a−c). A GISAXS incident angle of 0.135°, slightly above the critical angle of the polymer but below the critical angle of Si, was used. With no postanneal etch to enhance the X-ray contrast, only the primary peak was strongly observed (Figure 7a). Figure 7b shows the total scattering intensity as a function of scattering vector q* for samples annealed at various laser powers (temperatures) with a 5 ms dwell. With increasing peak temperature, the primary scattering peak shifts from q* = 0.37 nm−1 at 30 W (110 °C) to 0.35 nm−1 at 40 W (165 °C) and ultimately reaches q* = 0.33 nm−1 at the highest temperatures (295 °C). The intensity also increases with increasing temperature confirming the improvement in BCP microphase segregation and local ordering. Increasing the dwell from 5 to 20 ms dramatically improves this local ordering as evidenced by the sharper primary peaks. In contrast to the 5 ms dwell data, the peak after a 20 ms dwell anneal is observed at the same q* = 0.33 nm−1 for all annealing temperatures. The reduced amplitude and increasing full width at half-maximum above 45 W heating (440 °C) suggests the onset of polymer degradation above this temperature. Scans for 10 ms dwell anneals, over the same range of temperatures, consistently fall within the boundaries set by the 5 and 20 ms dwells. The spontaneously developed long-range coherent order after LSA suggest that LSA also has potential to induce biased ordering via directed self-assembly (DSA). To demonstrate this potential, we used photolithography and reactive ion etching (RIE) to prepare silicon substrates with 40 nm deep trenches from 250 to 1000 nm wide. The patterned substrates were then surface modified with either PS or PMMA brushes. Figure 8 shows self-assembled PS-b-PDMS films on these patterned

Figure 6. SEM images of laser-annealed films composed of PS-bPDMS on Si wafers that have previously been modified with PS brushes. Laser annealing power range from 30 to 60 W with 10 W step, and laser dwell times are (a−d) 5, (e−h) 10, and (i−l) 20 ms, respectively. The annealing temperature for each sample is indicated in each image.

above Tg, chains indeed have sufficient time and mobility to order into the fingerprint pattern, as seen in Figures 6c, d (230−300 °C, 5 ms dwell), 6f, h (205−400 °C, 10 ms dwell), and 6i−k (275−500 °C, 20 ms dwell). Above these temperatures, the morphology begins to deteriorate with eventual degradation of the entire polymer film (Figure 6l). The degree of ordering saturates above a threshold temperature for each dwell time, but the length scale of coherent ordering (a domain size) increases with increasing dwell as observed by comparing Figure 6c, g, j. Although the development of order is controlled primarily by the peak annealing temperature (above TODT), the dwell time also plays a very significant role. For example, while the peak temperature at 40 W (385 °C) and a 20 ms dwell is approximately the same as that at 60 W and a 10 ms dwell (380 °C), the long-range correlation ordering at 20 ms is significantly better with much larger fingerprint grain sizes as seen in Figures 6h, j. This is reflected equally in the comparison of the 5 and 10 ms dwell anneals with peak temperatures near 290 °C (Figures 6d, g). As polymer chains can only diffuse and order above Tg of PS and below TODT, longer dwells provide

Figure 7. GISAXS after LSA of PS-b-PDMS atop PS brush modified Si wafers. (a) Typical GISAXS spectrum. (b) Integrated GISAXS intensity from unetched samples as a function of annealing temperature (power) for 5 ms dwells; (c) same data for 20 ms dwells showing sharper structure peaks. E

DOI: 10.1021/acsami.7b00774 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Forum Article

ACS Applied Materials & Interfaces

Figure 8. SEM images of PS-b-PDMS on PS brush modified substrate. The substrate is prepatterned with periodic lines. The width of trenches and mesa are 275 and 100 nm, respectively. The annealing conditiona are (a) hot plate 230 °C 5 min, (b) hot plate 250 °C 5 min, (c) laser 250 °C (45 W) 10 ms, (d) laser 335 °C (55 W) 10 ms, (e) laser 440 °C (45 W) 20 ms.

trenches on the Si substrate and using surface brushes, we were able to achieve highly ordered DSA structures with reduced defectivity in sub-10 nm half-pitch features using laser annealing at 440 °C with a 20 ms dwell. We hypothesize that the LSA process heats films to temperatures above the ODT eliminating all pre-existing order and structure defects, and allows redevelopment of both the microphase segregation and long-range order as the temperature is quenched from TODT to Tg. The time scale of the LSA must be sufficiently short to avoid equilibrium formation of low-energy defect structures in the long-range order while also providing sufficient time for the initial development of the same long-range order. Temperatures near 440 °C for dwells on the order of 20 ms were identified as near optimal for this particular PS-b-PDMS block copolymer.

wafers after hot plate or laser anneals. After conventional thermal annealing at 230 °C for 5 min on a hot plate, cylinders show initial alignment along the wall of the trenches (Figure 8a). Increasing the hot plate temperature to 250 °C (Figure 8b) shows significantly better alignment; however, many bridging defects are observed and the contrast between blocks is significantly reduced compared to the 230 °C anneal. A similar trend is observed under laser annealing (Figures 8c−e). Increasing the temperature from 250 to 335 °C for a 10 ms dwell results in fairly strong alignment to the graphoepitaxial walls. However, simply increasing temperature was insufficient to achieve full long-range alignment and a longer dwell was required. While it is possible that the time above TODT with a 10 ms dwell provides too little time to fully eliminate long and short-range order, it is more likely that there is insufficient time during the rapid cooling for the long-range order and alignment to develop. However, with a 20 ms dwell laser anneal to 440 °C, Figure 8e films exhibit significant improvement in microstructure alignment. Long-range alignment in the trenches, and a dramatic reduction in defectivity, was achieved while maintaining strong SEM contrast between the two blocks. The 20 ms dwell to 440 °C gives sufficient time above TODT to erase kinetically trapped dislocations and disclinations (by destabilizing the microphase segregation) while also giving sufficient time during cooling for cylinders to ripen with an orientational bias along the edges of confinement topology. Therefore, both the higher temperature and the slower quench (longer dwell) are crucial for pattern transfer. These results are consistent with the ordering behavior inferred from the GISAXS measurements.



EXPERIMENTAL SECTION

Materials. Polystyrene-block-polydimethylsiloxane (PS-b-PDMS) (Mn,PS = 16 kg mol−1, Mn,PDMS = 6 kg mol−1; PDI = 1.1) with 23% of vinyl methyl siloxane was synthesized by anionic polymerization. Hydroxyl-terminated PS (Mn = 10 kg mol−1), hydroxyl-terminated PMMA (Mn = 35 kg mol−1, PDI = 1.86), and hydroxyl-terminated PMMA-co-PS (Mn = 133 kg mol−1, PS= 61%, PDI = 1.86) were purchased from Polymer Source Inc. and used as received. Thin Film Preparation. Polymer -brush-modified substrates were prepared by spinning coating a 1 wt % hydroxyl terminated polymer solution in toluene onto oxygen plasma cleaned Si wafers. After baking in a vacuum oven at 170 °C overnight or laser annealing at 45 W for 10 ms, the wafers were submerged in toluene, sonicated for 20 min, and rinsed with toluene. The thickness of this underlayer was determined using a Woollam Ellipsometer. Afterward, a solution of 1.2 wt % block copolymer in toluene was spun coated onto patterned or unpatterned substrate to form approximately 35 nm thick films. Hot Plate and Laser Spike Annealing. Conventional thermal annealing at temperatures up to 250 °C were performed on a hot plate in a normal room ambient. Laser spike annealing was performed by scanning a focused diode laser across samples, also in the normal room ambient. The diode laser (λ = 980 nm) was focused to a ∼2500 μm × ∼250 μm neat-flat top beam with the dwell time defined as the beam fwhm in the scan direction divided by the scan velocity. To anneal larger areas, we stitched individual laser scans using a 1 mm track spacing. To enhance SEM contrast of PS-b-PDMS, reactive ion etching was used to selectively remove the PS block. Samples were first etched with a CF4 plasma etch for 4s to remove the top PDMS layer, followed by an O2 RIE etch for 10s to remove the PS block and oxidize the PDMS block. SEM images were obtained from etched and Pd/Au sputtered samples using a Zeiss Supra SEM at 1 kV. GISAXS Characterization. GISAXS was performed at the D1 beamline at the Cornell High Energy Synchrotron Source. The X-ray beam was operated at 10 keV with a grazing incident angle slightly higher than the critical angle of the polymer at 0.135°. The flux of the beam was 1013 photons/s mm2 and each image was produced by exposure for 0.2 s.



CONCLUSION In summary, this work demonstrates the ability to order and align PS-b-PDMS structures using laser annealing on millisecond time scales, a process orders of magnitude faster than other thermal or solvent annealing methods. During laser annealing, peak temperatures of up to 650 °C were achieved for short dwell times ranging from 5 to 20 ms. We studied the effects of various brushes on the substrate to control the BCP morphology. PMMA brushes, neutral to neither block and not normally considered as an underlayer for PS-b-PDMS, were shown to be effective in enabling segregation and ordering during laser annealing. The degree of ordering of cylindrical structures during laser annealing increased with increasing temperature and dwell time, arising from both increased polymer chain mobility with increasing temperature and a reduced quench rate with increasing dwell. The periodicity of PS-b-PDMS (19.4 nm) and the increase in ordering with temperature were confirmed by GISXAS. Finally, by fabricating F

DOI: 10.1021/acsami.7b00774 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Forum Article

ACS Applied Materials & Interfaces



(13) Tao, Y.; Ma, B.; Segalman, R. A. Self-Assembly of Rod-Coil Block Copolymers and Their Application in Electroluminescent Devices. Macromolecules 2008, 41, 7152−7159. (14) Lo, K. H.; Chen, M. C.; Ho, R. M.; Sung, H. W. Pore-Filling Nanoporous Templates from Degradable Block Copolymers for Nanoscale Drug Delivery. ACS Nano 2009, 3, 2660−2666. (15) Yang, S. Y.; Yang, J. A.; Kim, E. S.; Jeon, G.; Oh, E. J.; Choi, K. Y.; Hahn, S. K.; Kim, J. K. Single-File Diffusion of Protein Drugs through Cylindrical Nanochannels. ACS Nano 2010, 4, 3817−3822. (16) Jeon, G.; Yang, S. Y.; Kim, J. K. Functional Nanoporous Membranes for Drug Delivery. J. Mater. Chem. 2012, 22, 14814. (17) Liu, C.-C.; Thode, C. J.; Rincon Delgadillo, P. A.; Craig, G. S. W.; Nealey, P. F.; Gronheid, R. Towards an All-Track 300 Mm Process for Directed Self-Assembly. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2011, 29, 06F203. (18) Nose, T. Coexistence Curves of Polystyrene/ Poly(dirnethylsiloxane) Blends. Polymer 1995, 36, 2243−2248. (19) Son, J. G.; Gotrik, K. W.; Ross, C. A. High-Aspect-Ratio Perpendicular Orientation of PS- B -PDMS Thin Films under Solvent Annealing. ACS Macro Lett. 2012, 1, 1279−1284. (20) Jung, Y. S.; Ross, C. A. Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene-Polydimethylsiloxane Block Copolymer. Nano Lett. 2007, 7, 2046−2050. (21) Son, J. G.; Chang, J.-B.; Berggren, K. K.; Ross, C. A. Assembly of Sub-10-Nm Block Copolymer Patterns with Mixed Morphology and Period Using Electron Irradiation and Solvent Annealing. Nano Lett. 2011, 11, 5079−5084. (22) Chan, V. Z.; Hoffman, J.; Lee, V. Y.; Iatrou, H.; Avgeropoulos, A.; Hadjichristidis, N.; Miller, R. D.; Thomas, E. L. Ordered Bicontinuous Nanoporous and Nanorelief Ceramic Films from Self Assembling Polymer Precursors. Science (Washington, DC, U. S.) 1999, 286, 1716−1719. (23) Avgeropoulos, A.; Chan, V. Z.-H.; Lee, V. Y.; Ngo, D.; Miller, R. D.; Hadjichristidis, N.; Thomas, E. L. Synthesis and Morphological Behavior of Silicon-Containing Triblock Copolymers for Nanostructure Applications. Chem. Mater. 1998, 10, 2109−2115. (24) Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. PolaritySwitching Top Coats Enable Orientation of Sub-10-Nm Block Copolymer Domains. Science (Washington, DC, U. S.) 2012, 338, 775−779. (25) Takano, H.; Wang, L.; Tanaka, Y.; Maeda, R.; Kihara, N.; Seino, Y.; Sato, H.; Kawamonzen, Y.; Miyagi, K.; Minegishi, S.; et al. Vertical Oriented Lamellar Formation of Fluorine- and Silicon-Containing Block Copolymers without Neutral Layers. J. Photopolym. Sci. Technol. 2015, 28, 649−652. (26) Seshimo, T.; Maeda, R.; Odashima, R.; Takenaka, Y.; Kawana, D.; Ohmori, K.; Hayakawa, T. Perpendicularly Oriented Sub-10-Nm Block Copolymer Lamellae by Atmospheric Thermal Annealing for One Minute. Sci. Rep. 2016, 6, 19481. (27) Welander, A. M.; Kang, H.; Stuen, K. O.; Solak, H. H.; Müller, M.; de Pablo, J. J.; Nealey, P. F. Rapid Directed Assembly of Block Copolymer Films at Elevated Temperatures. Macromolecules 2008, 41, 2759−2761. (28) Chen, J. T.; Thomas, E. L.; Ober, C. K.; Hwang, S. S. Zigzag Morphology of a Poly(Styrene-B-Hexyl Isocyanate) Rod Coil BlockCopolymer. Macromolecules 1995, 28, 1688−1697. (29) Bosworth, J. K.; Paik, M. Y.; Ruiz, R.; Schwartz, E. L.; Huang, J. Q.; Ko, A. W.; Smilgies, D. M.; Black, C. T.; Ober, C. K. Control of Self-Assembly of Lithographically Patternable Block Copolymer Films. ACS Nano 2008, 2, 1396−1402. (30) Borah, D.; Senthamaraikannan, R.; Rasappa, S.; Kosmala, B.; Holmes, J. D.; Morris, M. a. Swift Nanopattern Formation of PS-BPMMA and PS-B-PDMS Block Copolymer Films Using a Microwave Assisted Technique. ACS Nano 2013, 7, 6583−6596. (31) Park, W. I.; Kim, K.; Jang, H.-I.; Jeong, J. W.; Kim, J. M.; Choi, J.; Park, J. H.; Jung, Y. S. Directed Self-Assembly with Sub-100 Degrees Celsius Processing Temperature, Sub-10 Nanometer

AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]. ORCID

Alan G. Jacobs: 0000-0003-1250-5885 Clemens Liedel: 0000-0002-2323-9431 Christopher K. Ober: 0000-0002-3805-3314 Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS This work is based upon research conducted at the Cornell High Energy Synchrotron Source (CHESS) which is supported by the National Science Foundation and the National Institutes of Health/National Institute of General Medical Sciences under NSF award DMR-1332208, in the Cornell Center for Materials Research (NSF DMR-1120296), and in the Cornell Nanoscale Facility (NSF ECCS-1542081). A.G.J. acknowledges the Department of Defense (DoD) for financial support through the NDSEG fellowship program. C.L. acknowledges support by the Deutsche Forschungsgemeinschaft. We also thank our various collaborators, Michele Laus, Katia Sparnacci, Michele Perego, and Luca Boarino, for many helpful discussions on this topic.



REFERENCES

(1) Xiao, S.; Yang, X.; Steiner, P.; Hsu, Y.; Lee, K.; Wago, K.; Kuo, D. Servo-Integrated Patterned Media by Hybrid Directed Self-Assembly. ACS Nano 2014, 8, 11854−11859. (2) Yang, X. M.; Wan, L.; Xiao, S.; Xu, Y.; Weller, D. K. Directed Block Copolymer Assembly versus Electron Beam Lithography for BitPatterned Media with Areal Density of 1 Terabit/inch2 and beyond. ACS Nano 2009, 3, 1844−1858. (3) Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Graphoepitaxy of Spherical Domain Block Copolymer Films. Adv. Mater. 2001, 13, 1152−1155. (4) Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; De Pablo, J. J.; Nealey, P. F. Epitaxial Self-Assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates. Nature 2003, 424, 411−414. (5) Herr, D. J. C. Directed Block Copolymer Self-Assembly for Nanoelectronics Fabrication. J. Mater. Res. 2011, 26, 122−139. (6) Stoykovich, M. P.; Müller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures. Science 2005, 308, 1442−1446. (7) Tavakkoli K. G., A.; Gotrik, K. W.; Hannon, a F.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Templating Three-Dimensional SelfAssembled Structures in Bilayer Block Copolymer Films. Science 2012, 336, 1294−1298. (8) Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.; Berggren, K. K. Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates. Science 2008, 321, 939−943. (9) Botiz, I.; Darling, S. B. Optoelectronics Using Block Copolymers. Mater. Today 2010, 13, 42−51. (10) Topham, P. D.; Parnell, A. J.; Hiorns, R. C. Block Copolymer Strategies for Solar Cell Technology. J. Polym. Sci., Part B: Polym. Phys. 2011, 49, 1131−1156. (11) Li, L.; Schulte, L.; Clausen, L. D.; Hansen, K. M.; Jonsson, G. E.; Ndoni, S. Gyroid Nanoporous Membranes with Tunable Permeability. ACS Nano 2011, 5, 7754−7766. (12) Jackson, E. A.; Lee, Y.; Hillmyer, M. A. ABAC Tetrablock Terpolymers for Tough Nanoporous Filtration Membranes. Macromolecules 2013, 46, 1484−1491. G

DOI: 10.1021/acsami.7b00774 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Forum Article

ACS Applied Materials & Interfaces Resolution, and Sub-1 minute Assembly Time. Small 2012, 8, 3762− 3768. (32) Ferrarese Lupi, F.; Giammaria, T. J.; Ceresoli, M.; Seguini, G.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M.; Perego, M. Rapid Thermal Processing of Self-Assembling Block Copolymer Thin Films. Nanotechnology 2013, 24, 315601. (33) Jung, B.; Sha, J.; Paredes, F.; Chandhok, M.; Younkin, T. R.; Wiesner, U.; Ober, C. K.; Thompson, M. O. Kinetic Rates of Thermal Transformations and Diffusion in Polymer Systems Measured during Sub-Millisecond Laser-Induced Heating. ACS Nano 2012, 6, 5830− 5836. (34) Bell, R. T.; Jacobs, A. G.; Sorg, V. C.; Jung, B.; Hill, M. O.; Treml, B. E.; Thompson, M. O. Lateral Temperature-Gradient Method for High-Throughput Characterization of Material Processing by Millisecond Laser Annealing. ACS Comb. Sci. 2016, 18, 548−558. (35) Jacobs, A. G.; Liedel, C.; Peng, H.; Wang, L.; Smilgies, D.-M.; Ober, C. K.; Thompson, M. O. Kinetics of Block Copolymer Phase Segregation during Sub-Millisecond Transient Thermal Annealing. Macromolecules 2016, 49, 6462−6470. (36) Talwar, S.; Markle, D.; Thompson, M. Junction Scaling Using Lasers for Thermal Annealing. Solid State Technol. 2003, 46, 83. (37) Ho, J. C.; Yerushalmi, R.; Smith, G.; Majhi, P.; Bennett, J.; Halim, J.; Faifer, V. N.; Javey, A. Wafer-Scale, Sub-5 Nm Junction Formation by Monolayer Doping and Conventional Spike Annealing. Nano Lett. 2009, 9, 725−730. (38) Cho, K.; Numan, M.; Finstad, T. G.; Chu, W. K.; Liu, J.; Wortman, J. J. Transient Enhanced Diffusion during Rapid Thermal Annealing of Boron Implanted Silicon. Appl. Phys. Lett. 1985, 47, 1321. (39) Sha, J.; Jung, B.; Thompson, M. O.; Ober, C. K.; Chandhok, M.; Younkin, T. R. Submillisecond Post-Exposure Bake of Chemically Amplified Resists by CO2 Laser Spike Annealing. J. Vac. Sci. Technol. B 2009, 27, 3020−3024. (40) Jung, B.; Satish, P.; Bunck, D. N.; Dichtel, W. R.; Ober, C. K.; Thompson, M. O. Laser-Induced Sub-Millisecond Heating Reveals Distinct Tertiary Ester Cleavage Reaction Pathways in a Photolithographic Resist Polymer. ACS Nano 2014, 8, 5746−5756. (41) Jin, H. M.; Lee, S. H.; Kim, J. Y.; Son, S. W.; Kim, B. H.; Lee, H. K.; Mun, J. H.; Cha, S. K.; Kim, J. S.; Nealey, P. F.; et al. Laser Writing Block Copolymer Self-Assembly on Graphene Light-Absorbing Layer. ACS Nano 2016, 10, 3435−3442. (42) Singer, J. P.; Gotrik, K. W.; Lee, J.-H.; Kooi, S. E.; Ross, C. A.; Thomas, E. L. Alignment and Reordering of a Block Copolymer by Solvent-Enhanced Thermal Laser Direct Write. Polymer 2014, 55, 1875−1882. (43) Hammond, M. R.; Cochran, E.; Fredrickson, G. H.; Kramer, E. J. Temperature Dependence of Order, Disorder, and Defects in Laterally Confined Diblock Copolymer Cylinder Monolayers. Macromolecules 2005, 38, 6575−6585. (44) Hammond, M. R.; Kramer, E. J. Edge Effects on Thermal Disorder in Laterally Confined Diblock Copolymer Cylinder Monolayers. Macromolecules 2006, 39, 1538−1544. (45) Hur, S.-M.; Thapar, V.; Ramírez-Hernández, A.; Khaira, G.; Segal-Peretz, T.; Rincon-Delgadillo, P. A.; Li, W.; Müller, M.; Nealey, P. F.; de Pablo, J. J. Molecular Pathways for Defect Annihilation in Directed Self-Assembly. Proc. Natl. Acad. Sci. U. S. A. 2015, 112, 14144−14149. (46) Yokoyama, H. Diffusion of Block Copolymers. Mater. Sci. Eng., R 2006, 53, 199−248.

H

DOI: 10.1021/acsami.7b00774 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX