Achieving Low Contact Resistance by Engineering a Metal–Graphene

Jun 2, 2017 - graphene−metal contacts. Here we report an approach for achieving ultralow contact resistance simply with optical lithography by engin...
1 downloads 0 Views 871KB Size
Article

Achieving low contact resistance by engineering metalgraphene interface simply with optical lithography Qinghua Kong, Xuanyun Wang, Lishuang Xia, Chenbo Wu, Zhixin Feng, Min Wang, and Jing Zhao ACS Appl. Mater. Interfaces, Just Accepted Manuscript • Publication Date (Web): 02 Jun 2017 Downloaded from http://pubs.acs.org on June 3, 2017

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Applied Materials & Interfaces is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Achieving low contact resistance by engineering metal-graphene interface simply with optical lithography Qinghua Kong,*,† Xuanyun Wang,*,‡ Lishuang Xia,† Chenbo Wu,† Zhixin Feng,† Min Wang,† and Jing Zhao*,§

†School of Science, Beijing Jiaotong University, Beijing 100044, China ‡Wuhan China Star Optoelectronics Technology Co., LTD. §Center for Gene and Cell Engineering, Institute of Biomedicine and Biotechnology, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen 518055, China

KEYWORDS: optical lithography, graphene-based transistors, contact resistance, PS film, complementary metal-oxide-semiconductor

ABSTRACT High-performance graphene-based transistors crucially depend on the creation of the high quality graphene-metal contacts. Here we report an approach for achieving ultralow contact resistance simply with optical lithography by engineering metal-graphene interface. Note that a significant improvement with optical lithography for the contact treated graphene device, leading to the

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 19

contact resistance as low as 150 Ω·µm. The residue-free sacrificial film impedes the photoresist further doping graphene and all of source and drain contact regions defined by optical lithography

remain

intact.

This

approach,

being

compatible

with

complementary

metal-oxide-semiconductor (CMOS) fabrication processes regardless of source of graphene, would hold promise to the large-scale production of graphene-based transistors with optical lithography.

1. INTRODUCTION Graphene promises extensive future applications due to an extraordinary two-dimensional honeycomb lattice which endow it with superior characteristics in radio frequency response up to several hundreds of GHz.1-5 But high contact resistance (RC) of metal-graphene interface in graphene-based electronics severely limits its performance.6-9 Up to now, compared with the low resistance of 80 Ω·µm per contact in commercial silicon metal-oxide-semiconductor, graphene-based electronics still show a contact resistance of metal-graphene interface several times larger than that of being desired. Approaches have been explored to tackle this issue, including post-annealing treatment,10 considering the work-function of graphene under the different contacted metals,11 the creation of defect-free edges as “end-contact” and “edge-contact” graphene interfaces.12 These methods have constituted enormous contribution to minimize contact resistance using electron beam lithography (EBL), which is typical in the conventional planar-device fabrication. Large-area graphene can be acquired with chemical vapor deposition (CVD),13-14 however, large-scale production of graphene field-effect transistor

ACS Paragon Plus Environment

2

Page 3 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

depending on EBL could not be in accordance with the practical requirement, because it is time-assuming, high-cost, PMMA residue and difficult to fabricate in large-area. An alternative strategy to conquer these issues would use optical lithography. The major problem associated with optical lithography is the unavoidable chemical contamination from residue of photoresist which leads to the high contact resistance and limits the application of graphene devices. To obtain the residue-free graphene surface, methods involved ultraviolet and light O2 plasma treatment have been reported,15-16 leading to the surface hydrophilic and defects in the graphene contacts prior to metallization. The use of aluminium sacrificial layer has been reported to yield graphene transistors with 200-500 Ω·µm contact resistance.17 The drawback of this approach is that the weak adhesion between photoresist and inorganic sacrificial layer results in the damage of the source and drain contact regions defined by optical lithography. Thus, a new and approachable route, being compatible with complementary metal-oxide-semiconductor (CMOS) fabrication processes, has to be developed to suppress the lithographic contamination without surface defects and to get the high-yield of graphene transistors. Most importantly, the process should improve the contact performance remarkably for future graphene-based integrated circuits. Herein, we demonstrated a contact treatment by inserting an organic film (polystyrene with low polymerization degree, PS) between graphene and photoresist. In our strategy, the PS film plays two roles: isolating the graphene and photoresist and the lower residue on the graphene surface than the photoresist due to its low polymerization degree. Utilizing a simple PS-dissolving in the source and drain contact regions defined by optical lithography prior to

ACS Paragon Plus Environment

3

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 19

metallization, we observed a clean and defect-free contact graphene surface with a significant reduction in contact resistance. Our approach suggests that the contact treatment with a PS sacrificial layer would hold promise to the large-scale production of high-performance graphene-based transistors with optical lithography.

2. EXPERIMENTAL 2.1. Device fabrication. Figure 1 illustrates how to integrate the PS film contact treatment into the fabrication steps of a back-gate graphene device. CVD graphene was grown on copper foils and transferred by the PMMA-assisted technique (Figure 1a, Figure S1).18 The sample was then patterned with the standard UV-photolithography process and oxygen plasma etching. After that, the polystyrene (MW=4000) film (5 nm) was spin-coated at the whole patterned regions and baked at 100 °C for 2 min (Figure 1b). The UV-photolithography process was followed for the source and drain contact regions (Figure 1c). To treat the graphene-metal contact region, the sample was immersed in warm cyclohexane solution (40 °C) to eliminate the contact region of the PS film, guaranteeing the clean graphene surface with no resist (Figure 1d). Simultaneously, the defined source and drain regions remained intact. Moreover, the source and drain contacts were metalized with Ti/Au (20 nm/200 nm) by electron beam evaporation and then a lift-off process was followed in warm acetone. Finally, the rest PS film (channel region) was dissolved in cyclohexane and dried in nitrogen flow (Figure 1e). 2.2. Characterization method. Raman spectra (Lab RAM micro-Raman spectrometer) were conducted with a 532 nm wavelength excitation laser in ambient conditions. The excitation laser

ACS Paragon Plus Environment

4

Page 5 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

was focused with a 100x objective lens and the light spot was about 2 microns. The incident power on sample is less than 2 mW. AFM experiments were analyzed on a Park system (XE-70, Korea) in non-contact mode under ambient conditions. Scanning tunneling microscopy (STM) experiments were acquired at the gas-solid interface with a Nanoscope IIIa scanning probe microscope (Bruker, USA) and operating in the constant current mode under ambient conditions. Mechanically cut Pt/Ir wires (90/10) were used as STM tips. All of the STM and AFM images were just subjected to normal routine plane correction. The chemical composition of impurities on graphene surface was conducted using X-ray photoelectron spectroscopy (Thermo Scientific ESCALab250Xi). The electrical characterization of the transistors was performed with B1500 (Lakeshore) semiconductor parameter analyzer at room temperature in vacuum.

3. RESULTS and DISCUSSION

Figure 1. Schematic of the back-gate device fabricated processes with PS contact treatment. (a) As-patterned graphene using optical lithography on a 300 nm SiO2/Si substrate. (b) Thin PS film is deposited on the as-patterned graphene. (c) The UV-photolithography process to define the source and drain regions. (d) Dissolved the PS film in the contact regions and the structure remains intact. (e) Electrical contacts deposited with Ti/Au metalization to the graphene device.

ACS Paragon Plus Environment

5

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 19

Based on the fabricated processes of back-gate graphene device, the contact treatment mainly includes PS film deposition and PS dissolved in the contact region. During the normal CMOS technology, AZ photoresist (AZ5214) is widely used to define the contact regions, whereas its strong adsorption onto the graphene surface is the major factor to create the high contact resistance. To protect the graphene surface, PS is chosen as an isolated layer before spin-coated the AZ photoresist for several reasons. First, it is a well-characterized polymer with a simple composition, i.e., composed of carbon and hydrogen. Second, its adhesion to graphene is low in the native state, but its adhesion to photoresist is strong. Third, organic solvent exists that dissolve the PS layer but does not damage the photoresist prior to metallization, and the most important, the swelling behavior of PS film in acetone would disrupt the protection of graphene. It’s reason for protecting CVD graphene after the as-patterned process. In this work, we used cyclohexane to dissolve PS. All the source and drain contact regions defined by optical lithography remains intact in cyclohexane. Figure 2a shows a large optical micrograph after transferring the single-layer CVD graphene on a 300 nm thick SiO2/Si substrate. The image’s color contrast demonstrates a uniform thickness of graphene and the cleanness of its surface, except for some darker islands of hexagonal graphene nuclei. The dark lines are the graphene wrinkles.19-20 To characterize the membrane at the atomic scale, a high-resolution STM image shows the hexagonally symmetric honeycomb structure characteristic of a perfect graphene crystal, indicating the high quality of transferred graphene we observed.21 After the standard UV-photolithography (positive photoresist) and oxygen plasma etching processes (Figure S1), the obtained AFM topography

ACS Paragon Plus Environment

6

Page 7 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 2. (a) Optical image of the transferred graphene on SiO2/Si substrate. The inset panel is the high-resolution STM image of the transferred graphene. (b) AFM topography of the as-patterned graphene. (c) AFM image of the PS film covered on the graphene pattern. (d) AFM image of graphene-metal contact region after removal of the PS film. The inset optical image (white square) is the AFM tip scanning area.

image shown in Figure 2b confirms that the presence of monolayer graphene pattern several micrometers across with a thickness of 1.7±0.2 nm, which may be the residue PMMA on the graphene surface after the transferred process. Figure 2c shows the AFM image of the PS protection layer spin-coated on the whole region of graphene pattern with the average height of 4.6 ± 0.2 nm. The root-mean-square (RMS) roughness is 0.34 nm (500×500 nm surface areas), representing a smooth and uniform film. After the second optical lithography and removing the

ACS Paragon Plus Environment

7

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 19

PS layer, a typical AFM image of graphene-metal contact area is shown in Figure 2d. To guide the eye, the inset of it presents the location of AFM characterization. Note that the height of that is measured to be 1.8 ± 0.2 nm, suggesting the PS film and photoresist are residue-free on the graphene interface in line with our expectation.

Figure 3. (a) Optical image of the back-gate graphene device on the 300 nm SiO2/Si wafer. L and W are 6 µm and 15 µm, respectively. (b) Transfer characteristics of the PS treated graphene device with Vd changing from 10 mV to 50 mV and 10 mV as a sweep step. (c) The representative Id-Vd characteristics as a function of Vg. Id-Vd curves were collected from -100 V to 0 V with 10 V as a step voltage. (d) Total resistance comparison of a graphene device with experiment (black dots) and simulation (red dots) with PS layer.

The impact of PS contact treatment on the contact resistance and mobility extracted from the

ACS Paragon Plus Environment

8

Page 9 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

source and drain terminal characteristics of the field-effect transistor is investigated by back-gate measurements. Figure 3a shows the optical image of the graphene device on the 300 nm SiO2/Si wafer with 20 nm/200 nm Ti/Au as the source and drain electrodes and the channel length and width are 6 µm and 15 µm, respectively. All transistors were not annealed before the electronic measurements and conducted at room temperature in vacuum. Transfer characteristics of the graphene devices with the back-gate bias from -100 V to 100 V with (Figure 3b) and without PS protected film (Figure S2). The ambipolar field effect from both transfer curves is observed. The minimum conductivity point (Dirac point) with PS treated is assigned at a gate voltage of 75 V, which may be ascribed to the contamination on the graphene surface in the transferred process, physical absorption, or defects during the device fabrication, especially, optical lithography leads to a high level of p-type doping.22 Note that the Dirac point is greater than 100 V without PS layer, leading to a much higher level of p-type doping. It is also confirmed that the PS film as a protection layer for the purpose of insulating the photoresist with the graphene, can reduce the doping level during device fabrication with optical lithography. Figure 3c shows the Id-Vd characteristics of device with PS protection layer at various gate biases from -100 V to 0 V (Id-Vd characteristics with positive bias see Figure S3). The increase of the drain current and voltage shows a linear relationship, indicating the Ohmic contact between graphene and electrodes. Possible reasons for the contact resistance are perturbation of the graphene beneath the metal, dipole formation and impurities after reaction of the metal or graphene at the interface.23 Figure 3d shows a representative plot of total source and drain resistance versus gate voltage (Vg). The total source and drain resistance includes the resistance of metal, metal-graphene

ACS Paragon Plus Environment

9

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 19

contact and channel contact, respectively. With reasonable accuracy, to retrieve the contact resistance and field effect mobility, we fitted the curve using the Equation 1 and Equation 2 (see SI) with methodology introduced by Kim,24 proposing the resistance contribution of the contact does not influence by the change of gate voltage. The drain voltage (Vd) is 10 mV. The simulated result (red dots) using the above method agrees well with our measurements (black dots). The contact resistance and field effect mobility were 154 Ω·µm and 3042 cm2·V-1·s-1 with the measured L and W were 6 µm and 15 µm, respectively. We measured more than 40 graphene devices with PS contact treatment to obtain the average contact resistance and the value is 150±20 Ω·µm. Due to the high level p-type doping and no Diract point even Vg at 100 V, Kim method is not reasonable to extract Rc without PS contact treatment. It is also to be observed that the p and n branches always present a moderate asymmetry, and the contact resistance in the n branch is larger than that in the p branch.25

Figure 4. (a) Optical image of TLM structure for measuring the average RT versus L. L variations are 2, 4, 7, 10, 14 and 20 µm and W is 7 µm. (b) Total contact resistance for TLM devices with and without PS contact treated. The extracted contact resistance with and without PS have been estimated to be 150 Ω·µm and 779 Ω·µm, respectively.

ACS Paragon Plus Environment

10

Page 11 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 5. (a) Raman spectra of graphene during the different technological stages including as-patterned, contact treatment before and after PS dissolving. (b) Enlarged drawing of the Raman G and 2D band.

Compared with Kim method, transmission line method (TLM) is used to analyze the contact resistance of devices.6-9 TLM structures are defined as shown in Figure 4a. More than 40 graphene devices with TLM structure were been measured to evaluate Rc and the back-gate bias is assigned at 75 V. The channel length L variations are 2, 4, 7, 10, 14 and 20 µm. Since the channel width W is 7 µm, the choice of average total resistance RT·W allows 2Rc can be extracted directly from the fit equation (y-intercept). Figure 4b plots the average RT·W versus L with and without PS contact treatment along with linear fits and associated equations. A much more drop of 5 times in Rc from 779 Ω·µm to 150 Ω·µm for the PS contact treatment, which agrees well with the measurement using Kim method. To the best of our knowledge, this value of contact resistance is comparable with that of reported recently using EBL.

ACS Paragon Plus Environment

11

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 19

The enhancing performance of the PS treated graphene FET with a low contact resistance but a high level of p-type doping is an intriguing phenomenon that is worthwhile to make an exhaustive study. Raman spectroscopy is an efficient probe to evaluate structural and doping characteristics of graphene. Figure 5a shows the Raman results from different technological processes of the graphene device, including as-patterned, with PS film and PS dissolving processes. The G and 2D bands of the pristine graphene corresponding to the peak centered at ~1585 cm-1 and ~2700 cm-1 have been reported previously.26-28 The absence of any D-band at ~1350 cm-1 indicates that the graphene maintained a defect-free layer of sp2 hybridized carbon after a series of technological processes.29-31 The presence of the enlarged G and 2D bands (Figure 5b) sharply blue-shifted 7 and 10 cm-1, respectively, compared to the pristine graphene, indicating transferred process asisted with PMMA leaves the residue on graphene surface. Blue-shifted Raman bands are attributed to the hole-doping in graphene.32 The p-type doping graphene confirms that the positive Dirac point is far away from zero voltage in the back-gate graphene device characterization. Although optical lithography usually resultes the substantial hole-doping of graphene, it is worthwhile to note that G and 2D bands of metal contact graphene blue-shifted the same wavelength with the graphene pattern due to the PS layer limits the photoresist contact the graphene directly. Even after the second optical lithography, the G and 2D bands of contacted graphene do not shift more obviously, illustrating the PS layer can protect graphene and further prevent the increased hole doping from the optical lithography.

ACS Paragon Plus Environment

12

Page 13 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 6. (a) Full-range of XPS spectra of graphene during the different technological stages. (b) C 1s XPS spectrum of the as-patterned graphene. (c) C 1s of XPS spectrum of the as-patterned graphene with the PS layer. (d) C 1s XPS spectrum of the as-patterned graphene after dissolving the PS layer.

Such kind of PS treatment reduced contact resistance and the adsorption on graphene surface induced p-type doping effect can be further traced by the X-ray photoelectron spectroscopy (XPS) measurements. Figure 6a shows the full-range XPS spectra of as-patterned graphene and treatment before and after PS dissolving. O and C information appear after patterning the graphene and each of the atomic percent is 35.0% and 44.3%. For the contacted treatment with PS film, a substantial drop in C atomic percent from 78.6% to 44.7% after dissolving the PS film,

ACS Paragon Plus Environment

13

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 19

whereas O atomic percent keeps constant with that of the as-patterned graphene, indicating the PS layer was dissolved almost entirely and no PS residue on graphene surface. A core-level C 1s XPS spectrum of as-patterned graphene without background is shown in Figure 6b. The sp2 C-C bonding (red) shows a peak assigned at 284.4 eV, which agrees well with the reported in previous works.33 Some other peaks with chemical shifts of 1.5±0.1 eV, 2.3±0.1 eV and 4.5±0.1 eV in the spectra are assigned for the different environments of carbon atoms in PMMA,34 representing the as-patterned graphene coated with a thin PMMA film after the transferred process. The evolution of C 1s core-level spectra with PS treatment is shown in Figure 6c and 6d. Note that a clear reduction in the intensity of sp2 C-C bonding means a dissolving process of PS film. But the intensity of the PMMA peaks remains consistently compared with that of the as-patterned graphene. All of the results indicate that PMMA residue is adsorbed on the graphene surface after the transferred process and leads to a high level of p-type doping. But the sacrificial PS film can protect graphene to enhance the graphene FET performance and impede the photoresist further doping graphene. We will protect the graphene with PS film starting from the first PMMA-assisted transfer process for the further work. In addition, owing to its strong adhesion with graphene, all of source and drain contact regions defined by optical lithography remain intact and residue-free in cyclohexane. Most importantly, the processes are compatible with CMOS fabrication. The results highlight that this important feature technique is far from being a passive part of the large-scale production of graphene transistors and play a definitive role in the metal-graphene interface engineering.

ACS Paragon Plus Environment

14

Page 15 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

4. CONCLUSION In summary, we have demonstrated that a contact treatment through simple processes of inserting and dissolving an organic film (PS) between graphene and photoresist to reduce the contact resistance with optical lithography. The sacrificial PS film can protect graphene to enhance the graphene FET performance and impede the photoresist further doping graphene. The exhibited contact resistance in contact-treated graphene devices is as low as 150 Ω·µm. In addition, the present work is compatible with CMOS fabrication processes regardless of source of graphene. We anticipate that the contact treatment through simple process of inserting and dissolving an organic film may provide an orthogonal approach for the improvement of contact performance in future graphene-based integrated circuits. ASSOCIATED CONTENT Supporting Information The schematic of the graphene pattern fabricated processes; transfer curves of the graphene devices without PS protected film; the representative Id-Vd characteristics as a function of Vg AUTHOR INFORMATION Corresponding Author *E-mail: [email protected]. Tel: +86-10-51683627. * E-mail: [email protected]. Tel: +86-27-65501565. *E-mail: [email protected]. Tel: +86-755-18613188353. ORCID

ACS Paragon Plus Environment

15

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 19

Qinghua Kong: 0000-0002-3810-5225 Notes The authors declare no competing financial interest. ACKNOWLEDGEMENTS The authors gratefully acknowledge the support for this research by the National Natural Science Foundation of China (21603011, 51602338), the Fundamental Research Funds for the Central Universities of China (2016JBM067), the Natural Science Foundation of GuangDong Province of China (2014A030310335) and the Science and Technology Foundation of Shenzhen, China (JCYJ20150521094519466).

References (1) Novoselov, K. S.; Falko, V. I.; Colombo, L.; Gellert, P. R.; Schwab, M. G.; Kim, K., A Roadmap for Graphene. Nature 2012, 490, 192-200. (2) Schwierz, F., Graphene Transistors. Nat. Nanotechnol. 2010, 5, 487-496. (3) Lin, Y.-M.; Dimitrakopoulos, C.; Jenkins, K. A.; Farmer, D. B.; Chiu, H.-Y.; Grill, A.; Avouris, P., 100-GHz Transistors from Wafer-Scale Epitaxial Graphene. Science 2010, 327, 662-662. (4) Han, S.-J.; Garcia, A. V.; Oida, S.; Jenkins, K. A.; Haensch, W., Graphene Radio Frequency Receiver Integrated Circuit. Nat. Commun. 2014, 5, 3086-3091. (5) Lee, C.; Wei, X.; Kysar, J. W.; Hone, J., Measurement of the Elastic Properties and Intrinsic Strength of Monolayer Graphene. Science 2008, 321, 385-388. (6) Xia, F.; Perebeinos, V.; Lin, Y.-m.; Wu, Y.; Avouris, P., The Origins and Limits of Metal-graphene Junction Resistance. Nat. Nanotechnol. 2011, 6, 179-184. (7) Smith, J. T.; Franklin, A. D.; Farmer, D. B.; Dimitrakopoulos, C. D., Reducing Contact Resistance in Graphene Devices through Contact Area Patterning. ACS Nano 2013, 7, 3661-3667. (8) Song, S. M.; Kim, T. Y.; Sul, O. J.; Shin, W. C.; Cho, B. J., Improvement of Graphene–metal Contact Resistance by Introducing Edge Contacts at Graphene under Metal. Appl. Phys. Lett. 2014, 104, 183506. (9) Hsu, A. L.; Koch, R. J.; Ong, M. T.; Fang, W.; Hofmann, M.; Kim, K. K.; Seyller, T.; Dresselhaus, M. S.; Reed, E. J.; Kong, J.; Palacios, T., Surface-Induced Hybridization between Graphene and Titanium. ACS Nano 2014, 8, 7704-7713. (10)Lin, Y.-C.; Lu, C.-C.; Yeh, C.-H.; Jin, C.; Suenaga, K.; Chiu, P.-W., Graphene Annealing: How Clean Can It Be? Nano Lett. 2012, 12, 414-419.

ACS Paragon Plus Environment

16

Page 17 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(11) Song, S. M.; Park, J. K.; Sul, O. J.; Cho, B. J., Determination of Work Function of Graphene under a Metal Electrode and Its Role in Contact Resistance. Nano Lett. 2012, 12, 3887-3892. (12) Leong, W. S.; Gong, H.; Thong, J. T. L., Low-Contact-Resistance

Graphene Devices

with

Nickel-Etched-Graphene Contacts. ACS Nano 2014, 8, 994-1001. (13) Li, J.; Ji, H.; Zhang, X.; Wang, X.; Jin, Z.; Wang, D.; Wan, L.-J., Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer Graphene. Chem. Commun. 2014, 50, 11012-11015. (14) Li, X.; Cai, W.; An, J.; Kim, S.; Nah, J.; Yang, D.; Piner, R.; Velamakanni, A.; Jung, I.; Tutuc, E.; Banerjee, S. K.; Colombo, L.; Ruoff, R. S., Large-Area Synthesis of High-Quality and Uniform Graphene Films on Copper Foils. Science 2009, 324, 1312-1314. (15) Li, W.; Liang, Y.; Yu, D.; Peng, L.; Pernstich, K. P.; Shen, T.; Walker, A. R. H.; Cheng, G.; Hacker, C. A.; Richter, C. A.; Li, Q.; Gundlach, D. J.; Liang, X., Ultraviolet/ozone Treatment to Reduce Metal-graphene Contact Resistance. Appl. Phys. Lett. 2013, 102, 183110. (16) Robinson, J. A.; LaBella, M.; Zhu, M.; Hollander, M.; Kasarda, R.; Hughes, Z.; Trumbull, K.; Cavalero, R.; Snyder, D., Contacting Graphene. Appl. Phys. Lett. 2011, 98, 053103. (17) Hsu, A.; Wang, H.; Kim, K. K.; Kong, J.; Palacios, T., Impact of Graphene Interface Quality on Contact Resistance and RF Device Performance. IEEE Electron Device Lett. 2011, 32, 1008-1010. (18) Li, X.; Zhu, Y.; Cai, W.; Borysiak, M.; Han, B.; Chen, D.; Piner, R. D.; Colombo, L.; Ruoff, R. S., Transfer of Large-Area Graphene Films for High-Performance Transparent Conductive Electrodes. Nano Lett. 2009, 9, 4359-4363. (19) Zhang, D.; Jin, Z.; Shi, J.; Ma, P.; Peng, S.; Liu, X.; Ye, T., The Anistropy of Field Effect Mobility of CVD Graphene Grown on Copper Foil. Small 2014, 10, 1761-1764. (20) Zang, J.; Ryu, S.; Pugno, N.; Wang, Q.; Tu, Q.; Buehler, M. J.; Zhao, X., Multifunctionality and Control of the Crumpling and Unfolding of Large-area Graphene. Nat. Mater. 2013, 12, 321-325. (21) Stolyarova, E.; Rim, K. T.; Ryu, S.; Maultzsch, J.; Kim, P.; Brus, L. E.; Heinz, T. F.; Hybertsen, M. S.; Flynn, G. W., High-resolution Scanning Tunneling Microscopy Imaging of Mesoscopic Graphene Sheets on an Insulating Surface. Proc. Natl. Acad. Sci. U. S. A 2007, 104, 9209-9212. (22) Balci, O.; Kocabas, C., Rapid Thermal Annealing of Graphene-metal Contact. Appl. Phys. Lett. 2012, 101, 243105. (23) Giovannetti, G.; Khomyakov, P. A.; Brocks, G.; Karpan, V. M.; van den Brink, J.; Kelly, P. J., Doping Graphene with Metal Contacts. Phys. Rev. Lett. 2008, 101, 026803. (24) Kim, S.; Nah, J.; Jo, I.; Shahrjerdi, D.; Colombo, L.; Yao, Z.; Tutuc, E.; Banerjee, S. K., Realization of a High Mobility Dual-gated Graphene Field-effect Transistor with Al2O3 Dielectric. Appl. Phys. Lett. 2009, 94, 062107. (25) Huard, B.; Stander, N.; Sulpizio, J. A.; Goldhaber-Gordon, D., Evidence of the Role of Contacts on the Observed Electron-hole Asymmetry in Graphene. Phys. Rev. B 2008, 78, 121402. (26) Jin, Z.; Ma, P.; Wang, S.; Peng, S.; Zhang, D.; Shi, J.; Niu, J.; Yu, G.; Wang, X.; Li, M., Hydroxyl-free Buffered Dielectric for Graphene Field-effect Transistors. Carbon 2015, 86, 264-271. (27) Ferrari, A. C.; Meyer, J. C.; Scardaci, V.; Casiraghi, C.; Lazzeri, M.; Mauri, F.; Piscanec, S.; Jiang, D.; Novoselov, K. S.; Roth, S.; Geim, A. K., Raman Spectrum of Graphene and Graphene Layers. Phys. Rev. Lett. 2006, 97, 187401. (28) Ferrari, A. C., Raman Spectroscopy of Graphene and Graphite: Disorder, Electron–phonon Coupling, Doping and Nonadiabatic Effects. Solid State Commun. 2007, 143, 47-57.

ACS Paragon Plus Environment

17

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 19

(29) Li, J.; Li, M.; Zhou, L.-L.; Lang, S.-Y.; Lu, H.-Y.; Wang, D.; Chen, C.-F.; Wan, L.-J., Click and Patterned Functionalization of Graphene by Diels–Alder Reaction. J. Am. Chem. Soc. 2016, 138, 7448-7451. (30) Imamura, G.; Saiki, K., Modification of Graphene/SiO2 Interface by UV-Irradiation: Effect on Electrical Characteristics. ACS Appl. Mater. Interfaces 2015, 7, 2439-2443. (31) Srivastava, P. K.; Yadav, P.; Rani, V.; Ghosh, S., Controlled Doping in Graphene Monolayers by Trapping Organic Molecules at the Graphene–Substrate Interface. ACS Appl. Mater. Interfaces 2017, 9, 5375-5381. (32) Deng, C.; Lin, W.; Agnus, G.; Dragoe, D.; Pierucci, D.; Ouerghi, A.; Eimer, S.; Barisic, I.; Ravelosona, D.; Chappert, C.; Zhao, W., Reversible Charge-Transfer Doping in Graphene due to Reaction with Polymer Residues. J. Phys. Chem. C 2014, 118, 13890-13897. (33) Morar, J. F.; Himpsel, F. J.; Hollinger, G.; Jordan, J. L.; Hughes, G.; McFeely, F. R., C 1s Excitation Studies of Diamond (111). I. Surface Core Levels. Phys. Rev. B 1986, 33, 1340-1345. (34) Kim, Y.; Kim, H.; Kim, T. Y.; Rhyu, S. H.; Choi, D. S.; Park, W. K.; Yang, C.-M.; Yoon, D. H.; Yang, W. S., Influence of the Transfer and Chemical Treatment of Monolayer Graphene Grown for Flexible Transparent Electrodes. Carbon 2015, 81, 458-464.

ACS Paragon Plus Environment

18

Page 19 of 19

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

TOC 110x20mm (300 x 300 DPI)

ACS Paragon Plus Environment