Area-Selective Atomic Layer Deposition Assisted by Self-Assembled

Feb 5, 2019 - Area-selective atomic layer deposition (AS-ALD) is a promising “bottom-up” alternative to current nanopatterning techniques. Self-as...
0 downloads 0 Views 990KB Size
Subscriber access provided by TULANE UNIVERSITY

Article

Area Selective Atomic Layer Deposition Assisted by SelfAssembled Monolayers: A Comparison of Cu, Co, W and Ru Dara Bobb-Semple, Katie Lynn Nardi, Nerissa Draeger, Dennis M. Hausmann, and Stacey F. Bent Chem. Mater., Just Accepted Manuscript • DOI: 10.1021/acs.chemmater.8b04926 • Publication Date (Web): 05 Feb 2019 Downloaded from http://pubs.acs.org on February 7, 2019

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Area Selective Atomic Layer Deposition Assisted by Self-Assembled Monolayers: A Comparison of Cu, Co, W and Ru Dara Bobb-Semple,1 Katie Lynn Nardi,2 Nerissa Draeger,2 Dennis M. Hausmann,2 and Stacey F. Bent*,1 1 Department 2 Lam

of Chemical Engineering, Stanford University, Stanford, California 94305-5025, United States

Research Corporation, 4650 Cushing Parkway, Fremont, California 94538, United States

ABSTRACT: Area selective atomic layer deposition (AS-ALD) is a promising ‘bottom-up’ alternative to current nanopatterning techniques. Self-assembled monolayers (SAM) have been successfully employed as deactivating agents to achieve AS-ALD. In this work, the formation of octadecylphosphonic acid (ODPA) SAMs is studied on four technologically important metal substrates: Cu, Co, W and Ru. The SAM quality is shown to be dependent on temperature, solvent, and the nature of the substrate. The blocking ability of the ODPA-treated substrates is evaluated using ZnO and Al2O3 model ALD processes. Spectroscopic analyses reveal that ODPA-assisted ALD blocking can be achieved to varying degrees of success on each metal. ODPA-protected W showed >90% selectivity after 32 nm ZnO and 8 nm Al2O3 ALD, exhibiting the best blocking overall. For all substrates, ZnO ALD proved consistently easier to block than Al2O3, indicating the importance of precursor chemistry. Additionally, we show that the self-correcting process previously reported for Cu using an acetic acid etchant can be extended to Co. This process improves selective deposition of Al2O3 on patterned Co/SiO2 with feature sizes as small as 25 nm. Additional studies reveal that feature size and density affect the apparent selectivity in SAM-based AS-ALD, highlighting the importance of such considerations in future process developments.

INTRODUCTION With advanced nanoelectronics moving toward the 5 nm node and beyond, back-end of line (BEOL) interconnects are scaling to smaller pitch sizes which leads to many integration challenges.1 Device fabrication today relies on ‘top-down’ approaches, requiring multiple lithography and etch steps which can result in edge placement errors and serve as a process bottleneck as device dimensions shrink. Thus, there is great attention placed ACS Paragon Plus Environment

1

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 28

on selecting new materials and developing new fabrication schemes, including replacements for Cu interconnect structures.2–8 As Cu metal line widths shrink and approach the electron mean free path, the linear relationship between resistivity and dimensions breaks down, leading to performance and reliability issues.1,9 Several strategies are currently being implemented or investigated for addressing this challenge. One strategy is to replace the Ta liners used for Cu interconnects with alternative metal liners such as Ru or Co since they have better Cu wettability, which is important for improved gap fill, as well as lower resistance.2–4 Another strategy is to replace Cu interconnects with another metal. In this case, Co,5 W,6 and Ru7,8 have been studied due to their lower resistance-size effects. Further, Ru has also been investigated as a material for barrierless metallization in future interconnect technology nodes.7,8 Accompanying the materials changes is a continued need for low cost and reliable process integration to meet scaling demands. Area-selective atomic layer deposition (AS-ALD) has shown great promise in helping to overcome current fabrication challenges because it reduces the number of processing steps by allowing growth of materials only in the areas of interest. The process typically combines a surface modification technique and atomic layer deposition (ALD) in a ‘bottom-up’ approach to nanopatterning. ALD is a desirable choice for the deposition step due to several major advantages such as its ability to achieve high conformality, good uniformity, and angstrom-level thickness control over large areas. ALD is based on a series of self-limiting gas-surface reactions between a precursor or coreactant and the growth surface. Thus, ALD deposition is strongly dependent on the surface properties of the substrate. Area selective deposition can be achieved by manipulating surface chemistries to either initiate or block active sites where growth occurs at specific areas on a substrate,10–29 relying primarily on the surface sensitive nature of ALD. Typically, AS-ALD by surface site deactivation is employed, blocking surface active sites so that the regions where growth is not desired are passivated. Many studies have demonstrated the use of densely packed self-assembled monolayers (SAMs) to passivate the non-growth surface to achieve AS-ALD.13–18,21,24,30–32 SAMs are organic films which form spontaneously on solid surfaces. The amphiphilic molecules which make up the SAM consist of a reactive head group which chemically binds to the substrate surface, a tail group which determines the wettability of the modified substrate, and a backbone. The backbones are usually long alkyl chains, which interact with each other through Van der Waals attractive forces to form a densely packed, wellACS Paragon Plus Environment

2

Page 3 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

ordered monolayer. Organophosphonic acid (PA) SAM molecules bind covalently to the surface through coordination of the P=O with Lewis acid sites followed by condensation reactions between P-OH groups and surface hydroxyl groups, effectively removing active sites which would otherwise be available for reaction with an ALD precursor.19,30,32,33 Moreover, the SAM helps to block the diffusion of ALD precursors to any remaining reactive sites at the underlying substrate surface. Hence, the quality of alkyl chain packing in the SAM is important in determining how well ALD is blocked. Dense, crystalline SAMs have been reported to block ALD better than poorly packed SAMs.13,34 Alkyl chain packing is influenced by chain length, SAM formation time and monolayer density (molecular coverage).32,33,35–37 It has been shown that as chain length increases, ALD blocking improves due to an increase in the strength of intermolecular Van der Waal forces.32 Studies on the octadecyltrichlorosilane SAM showed that the WCA increases with SAM formation time and plateaus at 110°.32 Several groups have investigated the mechanism of SAM formation and explain that the surface coverage increases over time, associated with a corresponding increase in molecular order.13,35,37,38 A combination of electrochemical impedance spectroscopy and ALD blocking experiments have shown previously, that the most robust ODPA SAM forms after 48h.13 Organophosphonic acid (PA) SAM formation has been demonstrated on several metal and metal oxide surfaces, e.g. ITO,36,39,40 Al2O3,38,41,42 ZnO,43 SiO2,44 TiO2,45 Ti,46 Cu,13,47 for both biological and electronic applications. However, very few studies have investigated this class of SAM as a blocking layer for ALD, and in those studies, only W, Cu and Ti were used as substrates.13,21,48–50 Notably, octadecylphosphonic acid (ODPA) characterized by a phosphonic acid head group and C-18 alkyl chain was used to block ALD on Cu,

13,48,49

and

shown to achieve AS-ALD on CuOx/SiO2 patterns.13,50 It was reported that ODPA preferentially binds to native oxides of Cu (CuOx) over SiO2 at room temperature.13,44,47,51 This preferential binding shields the Cu surface but not the SiO2 from ALD growth. On this basis, selective deposition of ZnO and Al2O3 on SiO2 could be achieved.13,48 It was found that the interaction of highly reactive precursor molecules, such as trimethylaluminum (TMA), with the SAM can cause loss of selectivity within a smaller number of ALD cycles than observed for ZnO, leading to formation of Al2O3 defects on the ODPA treated Cu regions of the substrate. To address this loss of selectivity, Hashemi et al. introduced a process in which an acetic acid etch selectively removed the native CuOx layer, along with the associated SAM layer and deposition defects.48 This self-correcting process led to improved selectivity for ACS Paragon Plus Environment

3

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 28

AS-ALD of Al2O3 using TMA and water as precursors, and also resulted in reduction of the required SAM deposition times from 48 h to 1h. While the quality of the SAM layer may be affected by substrate preclean and SAM deposition conditions, the nature of the substrate and its surface properties have been found to play a significant role as well.52 Since substrate preparation conditions influence surface properties and microstructure, then this will inevitably impact SAM formation.36,40 Both Losego36 and Chockalingam40 reported the effect of ITO surface properties on PA SAM formation. Thissen and co-workers demonstrated that the quality and stability of an ODPA SAM varied for four distinctly different aluminum oxide surfaces. They attributed these differences to local atomic arrangements at the substrate’s surface.41 Other studies have also reported on the importance of the structure of the underlying substrate in affecting monolayer coverage.40,53,54 These findings imply that the substrate properties will also, in turn, affect ALD blocking. A surface which prevents formation of a densely packed SAM may allow easy diffusion of ALD precursors which can react with available surface sites, leading to unwanted film deposition. Additionally, a surface which forms an unstable monolayer will not block well due to breakdown of the SAM under ALD conditions. Therefore, in developing SAM-based AS-ALD, it is important to characterize SAM formation on each material of interest in addition to investigating its ability to block ALD. In this work, ODPA SAM formation and ALD blocking ability is investigated on several technologicallyrelevant materials to understand how and where we can exploit them for a more robust materials set in future device processing schemes. We perform comparative investigations of ODPA SAMs on Cu, Co, W and Ru. We show that solvent choice and temperature affect the quality of the ODPA SAM layer formed on the metals and develop processing conditions to optimize SAM formation on each metal. In addition, two model ALD processes, ZnO and Al2O3, are used to evaluate the blocking ability of the ODPA-treated metal substrates and a metric for describing selectivity is presented. In this work, a selective process with potential for success is identified as one which exhibits at least 90% selectivity (S>0.9). Selectivity is defined as:

𝑆𝑥,𝑐𝑦𝑐 =

𝑅𝑔𝑠 ― 𝑅𝑛𝑠 𝑅𝑔𝑠 + 𝑅𝑛𝑠

ACS Paragon Plus Environment

4

Page 5 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

where 𝑆𝑥,𝑐𝑦𝑐 is the selectivity after x ALD cycles and R represents the atomic composition of the deposited material as a function of the substrate. Specifically, Rgs represents the atomic composition ratio for the growth surface (gs), which in this case is Si, and Rns represents that for the non-growth surface (ns), i.e. the ODPA-treated metal. Here, R is serving as a proxy for coverage, following the formalism introduced by Gladfelter.55 We will show that, based on this definition of selectivity, ODPA-treated W exhibits the best overall results with S > 0.9 after 200 ZnO ALD cycles (~32 nm) and after 75 cycles (~8 nm) of Al2O3 ALD. However, we also demonstrate that a combination of ALD blocking using ODPA and an acetic acid etch greatly improves the selectivity of Al2O3 ALD by almost two-fold on CoOx/SiO2. It is also found that this combined process allows for shorter selective SAM formation times on Co, thus showing that the self-correcting approach is successful with materials other than Cu. Furthermore, these studies provide an understanding of how substrate properties can affect SAM-based AS-ALD and expand the AS-ALD toolkit to inform materials and process choices in the future.

EXPERIMENTAL METHODS ODPA (97%, Sigma-Aldrich), t-butanol (99.5%, Acros Organics), anhydrous toluene (99.8%, SigmaAldrich), ethanol (200 proof, >99.5%, Fisher Bioreagents), and glacial acetic acid (99.9%, Fisher Scientific) were used as received. All metal substrates used in these studies consist of a thin native oxide layer. Experiments were performed on blanket Cu, Co, W and Ru substrates as well as patterned CuOx/SiO2 and CoOx/SiO2 substrates (CuOx and CoOx are used to refer to the native oxide layers on Cu and Co respectively), which were provided by collaborators. Blanket Co, W and Ru substrates were prepared by e-beam evaporation, in which 15 nm thick Ti was first deposited as an adhesion layer on n-doped Si wafers followed by a 50 nm thick layer of the desired metal. The planar Cu substrate was prepared by thermal evaporation, with deposition of a 15 nm thick Cr adhesion layer followed by a 100 nm thick Cu layer. Patterned Cu/Si and Co/Si substrates were prepared by conventional photolithography techniques. The CuOx/SiO2 substrates consisted of Cu-filled SiO2 trenches with a native copper oxide at its surface. The CoOx/SiO2 substrates consisted of Cu-filled SiO2 trenches where the filled regions were capped with a 10 nm thick electroless deposited layer of Co. The metallization consisted of a dual-damascene process with Cu electroplating followed by chemical mechanical planarization (CMP). A Ta-TaN liner was ACS Paragon Plus Environment

5

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 28

used. For Co-capped samples, the Co was applied by electroless deposition. These patterned samples had feature sizes ranging from 40 nm to 1 µm. Silicon wafers, with a ~1.5 nm thick native oxide, were used as reference substrates for determining ALD film thicknesses by ellipsometry. Prior to SAM deposition, both blanket and patterned substrates were sonicated for 10 min in ethanol then 10 min in acetone to strip away organic contaminants then dried under flowing nitrogen. The samples were then exposed to a 15 min UV/ozone clean to remove any remaining organic residue and immediately transferred to a glass vial for the SAM deposition process. After cleaning, the substrates were immersed in a 1 mM solution of ODPA in t-butanol or toluene (W substrates only) for 1-48 h. Samples in t-butanol were heated on a hotplate set to 50 - 70°C, while those in toluene were warmed to 30°C to keep the ODPA dissolved in solution. After SAM deposition, the samples were rinsed thoroughly in ethanol to remove physisorbed material and then dried under flowing nitrogen. The ODPA-treated samples will be referred to as substrate-ODPA (e.g. Cu-ODPA) throughout this report. Samples not immediately used were stored in a nitrogen purge box. After treatment, the ODPA-coated substrates along with untreated metal substrates and reference Si were transferred to a Gemstar 6 ALD reactor (Arradiance) where ZnO and Al2O3 ALD processes were performed at 120°C. All precursors were maintained at room temperature. ZnO ALD was performed using diethylzinc (DEZ, Sigma-Aldrich) as a precursor while trimethylaluminum (TMA, Sigma-Aldrich) was used for Al2O3 ALD. Deionized water was used as a counter reactant in both ALD processes. Precursors were pulsed for 30 ms with a 10 s N2 purges between pulses. Proper process conditions were validated regularly by testing ALD on standard Si samples. The ALD conditions led to growth per cycle of 1.1 Å/cyc for Al2O3 and 1.8 Å/cyc for ZnO. Following ALD deposition, samples were characterized ex situ. For studies of the self-correcting process, after characterization, ODPA treated Co substrates (blanket Co and CoOx/SiO2) which were found to have defects were sonicated in glacial acetic acid for 10-15 mins then rinsed with deionized water and dried under flowing nitrogen. Water contact angle (WCA) goniometry was performed using an FTA 200 instrument as a preliminary means of confirming SAM deposition. Approximately 5 µl of Millipore water was brought into contact with the substrate surface to measure its hydrophobicity after SAM modification. ALD film thicknesses were confirmed by ellipsometry measurement on Si reference substrates using an Alpha-SE ellipsometer by J. A. Woollam Co. with spectral range of 380-900 nm at incidence angles of 65° and 70°, and polarizer set at 45°. The CompleteEASE ACS Paragon Plus Environment

6

Page 7 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

software was used for modeling and extracting the film thickness. Standard Cauchy models were used to describe the ZnO and Al2O3 layers. A PHI VersaProbe III scanning XPS microprobe instrument with 0.1% detection limit was used for compositional analyses. The instrument has a monochromatized Al Kα radiation source and was operated in high power (HP) mode at 100 W and 20 kV, with X-ray beam diameter of 100 µm. High resolution and survey scans were collected with pass energy of 55 eV with 50 ms steps and 224 eV with 20 ms steps, respectively. Three to four cycles were taken per location with step size of 0.1 eV/step. Compositional analyses and elemental mapping of patterned substrates was performed on the PHI 700 Scanning Auger Nanoprobe with electron gun setting of 10 kV 10 nA and detection limit of 0.1-0.01%. Surface morphology and roughness were investigated using the Park XE-100 atomic force microscope (AFM) operated in non-contact mode with a MikroMasch HQ:NSC15/Al BS probe and scan size of 1 × 1 µm. TEM samples were prepared, imaged and analyzed all at Nanolab Technologies, Inc, Milpitas, CA. First, the TEM lamella were prepared by a FEI Helios Nanolab 450S SEM/FIB dual beam system. The lamella were imaged on JEOL 2010F TEM at 200kV shortly after they were prepared to avoid oxidization. They were transferred to a probecorrected FEI Titan for EELS/EDS analysis at 200kV. The Titan TEM has a ChemiSTEM which has an FEI XFEG high brightness Schottky field emission gun and a Super-X 4-SDD, windowless EDS detector system. In addition, the Titan is equipped with a Gatan Enfinium ER EELS spectrometer with a fast shutter. Simultaneous EELS/EDS spectrum images were collected to cover all the elements of interests; elemental detection limits were within a few atomic%.

RESULTS AND DISCUSSION The blanket metal substrates were first characterized after solvent and UV/ozone cleaning. AFM results (Supporting Information, Figure S 1) show that the morphology varies for each substrate, with rms roughness values as measured by AFM of 0.5, 0.5, 0.8 and 1.0 nm for Co, W, Cu and Ru, respectively. These roughness results are confirmed by SEM (Supporting Information, Figure S 2) which shows small, uniform grains for Co and W with slightly larger but still uniform grains for Cu, whereas large visible boundaries can be observed for the rougher Ru substrate. High resolution XPS analysis (Supporting Information, Figure S 3) shows that these samples all have a native oxide layer – CuO on Cu, RuO2 on Ru, WO3 on W and Co3O4 on the Co substrate. ACS Paragon Plus Environment

7

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 28

After 48 h ODPA treatment, AFM results shown in Supporting Information, Figure S 1, indicates that there is no notable change in the sample roughness. SAM formation is confirmed on the planar substrates by WCA goniometry and XPS. The WCA, displayed in Table 1, was measured immediately after rinsing and drying. The metal-ODPA substrates treated in t-butanol solvent are found to be hydrophobic with WCA > 90°, except for WOPDA which has a WCA of only 76 ± 17°. Co-ODPA has a WCA of 110 ± 1°, similar to results on Cu-ODPA samples measured here and in previous work, indicating a well packed SAM layer.13,48

Table 1. Water contact angle measurements of ODPA-treated metal surfaces after 48 h exposure. The solvent for the ODPA solution and the hotplate temperature is also tabulated.

Metal

Solvent

Temp. (°C)

WCA, M-ODPA ± std.

(M)

dev. (deg)

Cu

t-butanol

50

110 ±1

Co

t-butanol

50

110 ±1

W

t-butanol

50

76 ±17

toluene

30

107 ±1

t-butanol

70

98 ±5

Ru

Figure 1 shows XPS survey spectra of Co and W substrates before and after 48 h ODPA deposition in tbutanol. In Figure 1a, the formation of the ODPA SAM on Co is confirmed by the presence of a P2p peak near 133.1 eV (see inset), in agreement with values obtained in other work.46 Additionally, the intensity of the C1s peak, associated with C—C alkyl chain, at 284.8 eV increases in intensity compared to the blank Co. The C/P ratio is calculated to be 23, which represents a small deviation from the expected value of 18 for the ODPA molecule and 21 found in the literature.56 This difference may be attributed to residual solvent material remaining after deposition or adventitious carbon formed on the surface post-deposition. All the results together indicate formation of an ODPA SAM on the Co surface.

ACS Paragon Plus Environment

8

Page 9 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Figure 1. X-ray photoelectron survey spectra of (a) clean cobalt and cobalt after 48 h ODPA treatment in t-butanol (Co-ODPA), (b) clean tungsten and tungsten after 48 h ODPA treatment (W-ODPA) in t-butanol and toluene. The insets for a) and b) show high resolution scans of the P2p core level for the sample after ODPA SAM deposition.

The XPS data for W-ODPA in Figure 1b shows that SAM formation has a strong solvent dependence. In contrast to W treated in ODPA/toluene which has a clear P2p peak at 133.5 eV (Figure 1b, inset), the W substrate treated in ODPA/t-butanol reveals only a small peak at 133.8 eV (Figure 1b inset), indicating that P is present in lower quantities. The higher binding energy may be associated with having some unbound alkylphosphonic acid on the W surface. Additionally, a detailed analysis and calculation of the atomic concentration gives a C1s:W4f atomic ratio of 8:3 and 3:2, for W-ODPA/toluene and W-ODPA/t-butanol, respectively. This difference is consistent with having more organic material on the surface of W-ODPA/toluene, corresponding to a higher surface

ACS Paragon Plus Environment

9

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 28

density of ODPA. The outcome of the XPS measurements agrees with the higher WCA results of 107 ± 1° for WODPA/toluene compared to 76 ± 17° for W-ODPA/t-butanol. Together these results imply that a poorly packed ODPA layer is formed on the W substrate when treated in t-butanol whereas a much denser film is achieved in toluene. This difference in ODPA monolayer formation in toluene versus t-butanol on the W substrate provides evidence of the effects of solvent interactions. Weaker interactions of toluene with both the W substrate and ODPA may create a thermodynamic driving force for ODPA deposition. These interactions were investigated by comparing the wetting of both toluene and t-butanol on the W surface and the ease of dissolution of ODPA in each solvent. Two observations were made: 1) ODPA dissolves more readily in t-butanol than toluene and 2) toluene wets the W surface less than t-butanol, both consistent with the above explanation. As such, in toluene, ODPA can adsorb more readily to the W substrate allowing for better monolayer ordering and over time, may lead to formation of a more crystalline SAM. A review by Schwartz also discusses how solvent choice impacts SAM formation kinetics.35 In the case of Ru, it is found that increasing the SAM deposition temperature from 50 to 70°C results in an increase in WCA from 86 to 103°. The relatively low WCA values suggest that a disordered SAM is formed on Ru than on Cu, Co or W. This may be directly related to the large defects and high rms roughness of the Ru substrates observed in AFM and SEM (Supporting Information Figure S 1 and Figure S 2). The noted improvement in the WCA with increased temperature may be due to improved kinetics of the ODPA adsorption. Increasing the temperature is also reported to increase the rate of deprotonation of the P-OH group leading to improved SAM coverage.35 Since ODPA SAMs could be formed successfully on each of the metal substrates, the ability of the SAMs to block ZnO and Al2O3 ALD was investigated. Metal oxide deposition on a reference metal substrate was compared with that on the ODPA-treated surface by quantifying the composition (extracted from XPS) of deposited material on each substrate. Figure 2 shows the results obtained for each substrate after Al2O3 ALD. The film thickness on the growth surface (SiO2) for which a selectivity greater than 90% could be maintained can be compared across the different metals using the results from the XPS measurements (Table 2). It is found that S > 0.9 could be achieved with Cu-ODPA for at least 25 cycles Al2O3 ALD (equivalent to ~3 nm of Al2O3 film growth on a control Si wafer), whereas Co-ODPA and W-ODPA remain selective with S > 0.9 for at least 50 (~6 nm) and 75 cycles (~8 nm) ACS Paragon Plus Environment

10

Page 11 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

respectively. In contrast, the Ru-ODPA substrate shows no selectivity at as early as 10 cycles and the surface quickly becomes covered in aluminum oxide. Table 2. Thickness at which the calculated selectivity exceeds 90% (S > 0.9). The film thicknesses given are for growth on a control Si substrate.

ALD Process

Selectively deposited film thickness (nm) for which S > 0.9 Cu-ODPA

Co-ODPA

W-ODPA

Ru-ODPA

Al2O3

3

6

8

0

ZnO

4

9

32

0

As shown in Figure 3d, the Ru-ODPA sample also exhibits poor blocking of ZnO ALD with demonstrated selectivity no better than S = 0.37 after only 10 ALD cycles (~2 nm). On the other hand, Cu-ODPA and Co-ODPA show much better attenuation of ZnO growth, with S > 0.9 after 25 cycles (~4 nm) and 50 ZnO ALD cycles (~9 nm) (Figures 3a and 3b), respectively. Meanwhile, W-ODPA, maintains S > 0.9 after as much as 200 ZnO ALD cycles (~34 nm).

ACS Paragon Plus Environment

11

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 28

Figure 2. XPS composition analysis after Al2O3 ALD on (a) Cu and ODPA-Cu, (b) Co and ODPA-Co, (c) W and ODPA-W and (d) Ru and ODPA-Ru. For Co and Cu, two different ODPA SAM formation times are compared.

Interestingly, these results on Cu, Co and W indicate that there is not a direct correlation between these WCAs and ALD blocking ability, if the WCA values are very similar. For instance, the WCA value for W-ODPA (Table 1) is slightly lower than Co-ODPA and Cu-ODPA but W-ODPA clearly blocks both ZnO and Al2O3 ALD best. Given these results, we believe that the variation between the WCA values is small enough to be attributed to scatter and therefore, the WCA may not be an appropriately sensitive parameter to allow for comparison of SAM quality across different metals with similar contact angle values. In fact, WCA measurements may be distorted by the presence of either multilayers or excess SAM molecules physisorbed on a surface and, therefore, for measured values which are very close, the WCA by itself is not a sufficient metric for how well a surface will block.57 However, in the case of Ru, which has WCA of only 98 ± 5° after ODPA treatment, the WCA is sufficiently low to conclude that the SAM is disordered and therefore, will not block ALD well, as was observed experimentally.

ACS Paragon Plus Environment

12

Page 13 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Several important conclusions can be drawn from the results in Figures 2 and 3. First, each system exhibits diminishing selectivity as the number of ALD cycles increases. Second, the results clearly show that, in general, the metal-ODPA substrates block ZnO better than Al2O3 ALD, indicating the importance of ALD precursor chemistry in achieving AS-ALD. Third, it is clear that within a given ALD process, the blocking result varies for each metal-ODPA substrate, confirming the significance of the substrate properties in forming high quality SAMs and/or preventing ALD. Taken together, the results suggest that a combination of chemical and physical properties influences the SAM blocking ability in AS-ALD. We further assert that the substrate preparation can also have a strong effect on SAM formation and ALD blocking ability as reported by Thissen and co-workers.41 For instance, in the case of ZnO blocking on Cu-ODPA, breakthrough ALD growth is observed in the present studies as early as 25 cycles whereas in previous studies, ZnO ALD was completely blocked for 200 cycles.13 Since these differences were reproducible across several repeated experiments, we speculate that the difference arises from the source of the copper substrate, which was not the same between the two studies. Hence, the AS-ALD process may be quite sensitive to material source and deposition method for certain substrates.

ACS Paragon Plus Environment

13

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 28

Figure 3. XPS composition analysis after ZnO ALD on (a) Cu and ODPA-Cu, (b) Co and ODPA-Co, (c) W and ODPA-W and (d) Ru and ODPA-Ru.

Although we have shown how the choice of solvent affects the SAM quality formed on W, we do not believe that solvent effects alone can explain the differences observed in blocking behavior because then we would expect to see similar blocking in the case of Cu, Co and Ru. Thus, to better understand why the blocking results vary for each metal-ODPA substrate, we consider what substrate-dependent factors may influence the SAM coverage and packing. Some potential factors include substrate surface roughness, surface hydroxyl group density, and Lewis acidity/basicity. As described below, the observed blocking differences are likely the result of multiple contributions. Previous studies of ODPA formation on ITO have shown that monolayer ordering/packing is impacted by surface roughness.36,37,40 We propose that the lower SAM quality, and thus poor ALD blocking on Ru may be due, in part, to the higher roughness (1.1 nm) and overall morphology of the Ru surface when compared to the other metals (0.5 nm on both W and Co and 0.8 nm on Cu). Roughness on the order of that on Ru (1-10 nm) has been ACS Paragon Plus Environment

14

Page 15 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

associated with defect formation in the SAM.40,58 This roughness coupled with the large grain boundaries observed in SEM (Supporting Information Figure S 2) can affect SAM packing and contribute to the poorer ALD blocking results observed on Ru-ODPA. However, although roughness may be contributing to the differences in ALD blocking, it cannot be the only factor. For example, both W and Co have similar roughness but exhibit different blocking behaviors, providing evidence that differences extend beyond physical morphology of the substrate. We posit that surface chemistry, which also affects SAM binding and stability, plays a role in the observed differences in ALD blocking. The surface chemistry is important because the nature of the metal surface determines the availability of active sites, the SAM binding mode and reaction mechanism. It is well known that metals surfaces are readily oxidized when exposed to ambient air and that this oxide is covered with hydroxyl groups.59,60 However, the density of hydroxyl coverage varies for different metal oxide surfaces.59,61 The oxidized metal surface also consists of Mn+ cations which have Lewis acid character and also play an important role in PA binding.33,61,62 Thus, the availability and distribution of these Mn+ sites and the hydroxyl coverage at the surface will also determine the types of chemistry that can occur. On the basis of the proposed reaction mechanism for PA on metal oxide surfaces, the surface hydroxyl content and the Lewis acid character are both important.19,30,32,33 Hotchkiss et al. described two mechanisms based on the Lewis acid nature of hydroxylated metal oxide substrates.33 They reported that three different binding modes are possible: monodentate, bidentate and tridentate. Typically, most SAM systems form with a mixture of binding modes. If the surface is a poor Lewis acid, the attachment proceeds through a series of heterocondensation reactions of the P-OH groups, whereas, if the surface has more Lewis acidic character, the PA head group can first coordinate to a surface Mn+ acid site through the phosphoryl oxygen (P=O) and the other remaining moieties (P-OH) can subsequently react by condensation. For the more Lewis acidic surface, tridentate bonds are more likely to the since the P=O as well as the P-OH groups can be involved in surface bonding. On the other hand, for a less Lewis acidic surface, the P=O group remains unreacted, or potentially hydrogen bonds to other surface hydroxyl groups, resulting in mostly bidentate and monodentate binding.33 The stability of the M-O-P bond and molecular packing, therefore, will be highly dependent on availability and proximity of binding sites, both Lewis acid and hydroxyl. We postulate that more Lewis acidic surfaces will form PA SAMs that are better at blocking ALD than the less acidic surfaces. As described above, less Lewis acidic surfaces would be expected to have a lower degree of ACS Paragon Plus Environment

15

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 28

coordination to the PA SAMs. In turn, surfaces with lower coordination to the SAMs may be more susceptible to reactions with ALD precursors because the PA molecule is less strongly bound to the surface, the PA head group provides less coverage to the underlying surface, and there may be more unreacted surface hydroxyl groups. Unreacted surface hydroxyl groups may be in a position to react with any ALD precursors that penetrate to the SAM-substrate interface. Even surface hydroxyl species that are involved in hydrogen bonding to phosphoryl groups are potentially reactive. If a Lewis acid precursor (such as TMA) diffuses into the SAM, it could easily overcome the H-bonding interactions to nucleate at surface hydroxyl sites. Acid-base theory can, therefore, be employed to give additional insight into which SAM-covered metal oxide surfaces may have a greater ability to block ZnO and Al2O3 ALD. In general, the strength of the Lewis acid sites depends on the charge/radius ratio of the metal cation, Mn+.61 The trend for Lewis acid strength of Mn+ in this study can be determined as: W6+ >Ru4+ > Co2+/3+ > Cu2+.63 This ordering is in accordance with trends determined by Pearson’s theory on hard and soft acids and bases.64 Thus, we would expect the more Lewis acidic tungsten oxide surface to form SAMs that are more protective against ALD than Co and Cu surfaces. Notably, with the exception of Ru, this trend agrees with the experimental blocking data, which serves as a proxy for the robustness of the SAM. The only outlier to this trend is Ru, highlighting the importance of surface morphology as described earlier. Finally, we emphasize that while physical and chemical properties of the substrate are critical, SAM deposition conditions have proven to be equally important in forming the best SAM for ALD blocking. Additional studies should be performed to delineate how and to what extent each of these parameters impacts the SAM quality and blocking ability. In previous work, we demonstrated a self-correcting process for increasing the selectivity in ODPA-assisted AS-ALD of aluminum oxide on CuOx/SiO2 substrates.48 Acetic acid was used as a selective etchant to remove defects formed on the metal-ODPA substrate. The process worked by attacking and etching the underlying substrate oxide and, in turn, removing the SAM and any unwanted material deposited on top of the SAM. In this investigation, we sought to determine whether a similar process could work to improve selectivity on the three other metals studied: Co, W, and Ru. Experiments were first performed on blanket substrates by carrying out Al2O3 ALD on SAM-coated substrates then sonicating the substrates in acetic acid. XPS was used to probe for defect removal after the acetic acid etch, where defects refer to unwanted growth of the ALD metal oxide ACS Paragon Plus Environment

16

Page 17 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

on the ODPA-coated metal substrate. The atomic composition data (extracted from XPS) are shown in Figure 4 for both Co and Cu, which reveals that the acetic acid etch can be used to remove unwanted Al2O3 defects formed on not only Cu-ODPA but also on Co-ODPA. The etch successfully removes deposition defects formed after as many as 200 cycles of Al2O3. It is also seen that excellent selectivity can be obtained for as many as 200 Al2O3 ALD cycles (~22 nm) on Cu-ODPA and 100 cycles (11 nm) on Co-ODPA using the self-correcting process even if the SAM formation time is decreased to only 1 h on Cu. Similar experiments showed that the acetic acid etch process is not effective for W and Ru. A control experiment was performed where clean Co, Cu, W and Ru substrates were each sonicated in acetic acid for 12 minutes. High resolution XPS was used to characterize the substrates both before and after acetic acid etch (Supporting Information, Figure S 3). Scans of the Co2p core level show that clear changes occur after acetic acid etch, including an increased intensity of the peak near ~779 eV associated with metallic Co and a significantly decreased intensity of the peak near 782 eV and the satellite peak, both associated with oxides of Co.65 These spectral changes indicate that a significant amount, but not all, of the cobalt oxide is removed from the substrate surface by the acetic acid treatment. This etch of the metal oxide removes the SAM and unwanted ALD deposits from the underlying metal and allows for a successful self-correcting process. Similar changes are observed for the Cu substrate.48 However, unlike Co and Cu, high resolution XPS scans of the W4f and Ru3d core levels in Figure S 3 of the Supporting Information, show no differences in the associated metal or metal oxide peaks after acetic acid etch. This is expected since oxides of Ru and W have proven to be stable in weak acids in ambient conditions. Typically, RuO2 and WO3 require very high temperatures, plasmas or corrosive chemicals such as NH4OH or KOH for dissolution.66–70

ACS Paragon Plus Environment

17

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 28

Figure 4. (a) XPS composition analysis after Al2O3 ALD of the Cu substrate (black) and ODPA-Cu substrate (1h treatment in 1 mM ODPA) (red), and of the 1 h ODPA-Cu sample after acetic acid etch (green). (b) Corresponding data for the Co samples.

To explore the extent to which AS-ALD could be used to achieve pattern propagation, SAM-based ASALD was performed on patterned substrates with feature sizes less than 50 nm. The Cu-filled SiO2 trenches shown in Figure 5 were treated with ODPA/t-butanol for 48 h and then exposed to 15 cyc of ZnO. From the TEM images in Figure 5a and 5b, it can be seen that ZnO grows only on the SiO2 region of the substrate but not on the Cu regions. This confirms that the ODPA SAM deposition process (a) blocks ZnO ALD on the Cu areas and (b) does not affect ZnO ALD on the SiO2 regions of the pattern, e.g. by residual deposition of the SAM on the SiO2 or by spreading of the ODPA across the CuOx/SiO2 interface. The EELS/EDX analysis data in Figure 5c support the results seen in TEM and confirm that ZnO can be spatially confined to the SiO2 regions of the substrate within a sub-10 nm length scale. Interestingly, the EELS/EDX data also show very little ZnO present on the TaN barrier. To understand the absence of ZnO on TaN in the patterned sample, we performed a separate experiment in which we deposited ODPA on TaN blanket substrates. The results showed a WCA of 104°, indicating formation of a ACS Paragon Plus Environment

18

Page 19 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

relatively poor SAM on the surface under the deposition conditions used; however, this SAM appears to be sufficient to prevent significant ZnO ALD at low cycle numbers according to the observations on the patterned substrate.

Figure 5. a) Bright-field TEM image, b) High-angle annular dark-field TEM image showing EDX analysis area and c) EDX/EELS composition analysis over the area marked (red square) in (b) of ODPA-treated CuOx/SiO2 patterned substrates after 15 cyc ZnO ALD.

We also tested the self-correcting process on patterned substrates to understand its effectiveness on industrially relevant structures and sizes and to better understand how SAM-based AS-ALD processes scale from blanket substrates to patterned devices. TEM results in Figure 6 show that after 100 cycles of aluminum oxide ALD on ODPA-treated CuOx/SiO2 with features as small as 25 nm, there is a thick aluminum oxide film on both the Cu metal and SiO2 dielectric regions of the substrate, with no apparent selectivity. Hence, the ODPA SAM is not capable of blocking this much Al2O3 growth on Cu, consistent with the earlier XPS measurements (Figure 2) for the same conditions on blanket substrates. The patterned samples were then treated by the acetic acid etch process. Whereas the film on the blanket substrate was fully removed after acetic acid etch (Figure 4a), the TEM results in Figure 6b show that there is no apparent removal of the Al2O3 film from the CuOx regions of the patterned substrate. Namely, the dense, continuous Al2O3 film growth that extends from the SiO2 across the relatively small regions of Cu-ODPA was not removed by ACS Paragon Plus Environment

19

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 28

the acetic acid etch. We hypothesize that the discrepancy arises from differences in the nature of the Cu between the blanket and patterned substrates. As the Cu line size shrinks, this affects the grain structure; therefore, the microstructure of these small features is different than that of the blanket substrates. We propose that the microstructure of the Cu in the small pattern sizes leads to formation of a less robust SAM that breaks down under ALD conditions; this in turn allows faster nucleation and growth of a dense Al2O3 film on the Cu-ODPA, which becomes continuous with the film on the nearby SiO2 regions. The Al2O3 film thus forms a sturdy bridge which is less susceptible to lift-off. Additionally, the use of chemical mechanical polishing (CMP) in preparing the patterned samples may also be a contributor to the differences observed since the SAM quality may vary as a result of changes in the Cu surface chemistry, as mentioned previously.

Figure 6. Bright field TEM images showing ODPA treated CuOx/SiO2 patterned substrate a) after 100 cycles of Al2O3 ALD and b) after 100 cycles of Al2O3 ALD followed by acetic acid etch.

For Co, which was shown on blanket substrates to block much better than Cu (Figure 2), the results are also quite different. TEM images in Figure 7 show results after 100 cycles of Al2O3 ALD on Co/SiO2 patterns. As expected, there is growth on the CoOx region of the patterned substrate, which is visibly less dense than on the SiO2 areas (Figure 7a,b). Furthermore, it is observed that as the Co feature size increases, the density of the ALD Al2O3 film on the CoOx regions decreases and forms more discrete islands. ACS Paragon Plus Environment

20

Page 21 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Moreover, the self-correcting process was more successful on the Co/SiO2 patterns than on the Cu/SiO2 patterns. SEM and Auger analysis shown in Figure S 4 of the Supporting Information suggest that the Al2O3 was successfully removed after a 10 min acetic acid etch. The TEM images in Figure 7c and d confirm the removal of the Al2O3 islands from the CoOx regions of the patterned substrate for both the 200 nm features (Figure 7d) and the 30 nm features (Figure 7c). Interestingly, other less desirable effects can be observed in the TEM images. First, there is noticeable roughening of the Co surface seen in both Figure 7c and 7d, which we propose is a result of repeated reoxidation and etching of the Co surface due to the presence of oxygen in the acetic acid. Future work should investigate acetic acid etch under O2-free conditions. Second, for smaller feature sizes (Figure 7c), some of the ALD Al2O3 appears to be removed not just from the Co but also from the desired SiO2 growth region. Moreover, some of the TEM images after etching (e.g. Figure 7d) show edges which are not vertically aligned due to mushrooming ALD growth onto the undesired region. This overgrowth is what likely leads to removal of some Al2O3 material from the SiO2 during sonication in acetic acid. The results on both the CoOx/SiO2 and CuOx/SiO2 patterns indicate the strong effect of the pattern feature size on SAM-based AS-ALD. Consequently, although the AS-ALD process with post-deposition etch shows promise for enhancing selectivity, the results obtained on blanket substrates may not always translate to patterned substrates and it is important to optimize the process for the desired pattern size.

Figure 7. Bright field TEM images showing ODPA treated CoOx/SiO2 patterned substrate (a and b) after 100 cyc of Al2O3 ALD and (c and d) following ALD and acetic acid etch. Pattern linewidths are (a) ~40 nm, b) 250 nm, (c) ~40 nm and d) 160 nm.

ACS Paragon Plus Environment

21

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 28

CONCLUSIONS In this work, we demonstrate that ODPA SAMs form with varying degrees of packing on the native oxide of several industrially relevant metals: Cu, Co, W and Ru. The formation and quality of the monolayer is affected by solvent choice, temperature and substrate properties. The metal-ODPA systems also vary in their ability to block ALD. W-ODPA shows the most promising results for blocking both ZnO and Al2O3 ALD, with a selectivity value of S > 0.9 achievable at 32 and 8 nm, respectively. This represents a four-fold thickness difference between ZnO and Al2O3, and though the effect was not as pronounced, similar differences were also observed for Co and Cu. We plan to perform additional studies to understand the root cause(s) for these differences observed on the metals. The ZnO AS-ALD process is successfully scaled to small pattern sizes, with ODPA SAM-based AS-ALD of ZnO demonstrated on sub-50 nm patterns of CuOx/SiO2. We also show that the self-correcting process previously reported for Cu, using acetic acid as an etchant, can be extended to Co. This process increases the selectivity (S > 0.9) for at least 100 cycles of Al2O3 ALD, resulting in selective deposition of a film twice as thick. Hence, the SAM-based AS-ALD combined with the etch process has the potential to achieve excellent selectivity on sub-20 nm CoOx/SiO2 patterned features, but there are still challenges to overcome. Notable differences between results obtained on blanket versus patterned substrates are evident, and pattern-size effects are observed for both CuOx/SiO2 and CoOx/SiO2 substrates. As new AS-ALD schemes are developed to address future scaling challenges, emphasis must also be placed on understanding the effects of edges, feature size, and feature density on the process. These results provide insights into important considerations for selection of materials systems, chemistries and parameters for developing robust AS-ALD schemes. ASSOCIATED CONTENT

Supporting Information. The supporting Information is available free of charge on the ACS Publications website at DOI: AFM images of cleaned metal substrates and metal substrates after SAM deposition, SEM images of cleaned metal substrates and metal substrates after SAM deposition, High resolution XPS of Co2p3, W4f, Cu2p3 and Ru3d core levels before and after acetic acid etch, SEM and Auger line scans on patterned ODPA-treated CoOx/SiO2 after self-correcting process. AUTHOR INFORMATION

Corresponding Author *E-mail: [email protected]

ACS Paragon Plus Environment

22

Page 23 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

ORCID Dara Bobb-Semple: 0000-0003-2377-399X Stacey F. Bent: 0000-0002-1084-5336 Notes The authors declare no competing financial interest. ACKNOWLEDGEMENTS This publication was based on work supported by the Semiconductor Research Corporation (GRC Task 2660.001) and Lam Research. D.B.S gratefully acknowledges NSF GRFP IDGE-114747 for a graduate fellowship. Part of this work was performed at the Stanford Nano Shared Facilities (SNSF), supported by the National Science Foundation under award ECCS-1542152. The authors also acknowledge Tiffany Huang for help with sample fabrication and Camila de Paula for assistance with SEM experiments.

REFERENCES

(1)

He, M.; Zhang, X.; Nogami, T.; Lin, X.; Kelly, J.; Kim, H.; Spooner, T.; Edelstein, D.; Zhao, L. Mechanism of Co Liner as Enhancement Layer for Cu Interconnect Gap-Fill. J. Electrochem. Soc. 2013, 160 (12), D3040–D3044.

(2)

Ishizaka, T.; Gomi, A.; Kato, T.; Sakuma, T.; Yokoyama, O.; Yasumuro, C.; Toshima, H.; Mizusawa, Y.; Hatano, T.; Han, C. S.; et al. Cu Dry-Fill on CVD Ru Liner for Advanced Gap-Fill and Lower Resistance. In 2011 IEEE International Interconnect Technology Conference; IEEE, 2011; pp 1–3.

(3)

Yang, C.-C.; Cohen, S.; Shaw, T.; Wang, P.-C.; Nogami, T.; Edelstein, D. Characterization of “UltrathinCu”/Ru(Ta)/TaN Liner Stack for Copper Interconnects. IEEE Electron Device Lett. 2010, 31 (7), 722–724.

(4)

Kim, H.; Koseki, T.; Ohba, T.; Ohta, T.; Kojima, Y.; Sato, H.; Shimogaki, Y. Cu Wettability and Diffusion Barrier Property of Ru Thin Film for Cu Metallization. J. Electrochem. Soc. 2005, 152 (8), G594–G600.

(5)

Bekiaris, N.; Wu, Z.; Ren, H.; Naik, M.; Park, J. H.; Lee, M.; Ha, T. H.; Hou, W.; Bakke, J. R.; Gage, M.; et al. Cobalt Fill for Advanced Interconnects. In 2017 IEEE International Interconnect Technology Conference (IITC); IEEE, 2017; pp 1–3.

(6)

Choi, D.; Barmak, K. On the Potential of Tungsten as Next-Generation Semiconductor Interconnects. Electron. Mater. Lett. 2017, 13 (5), 449–456.

(7)

Chu, J. P.; Lin, C. H.; John, V. S. Cu Films Containing Insoluble Ru and Ru NX on Barrierless Si for Excellent Property Improvements. Appl. Phys. Lett. 2007, 91 (13), 2005–2008.

(8)

Wen, L. G.; Roussel, P.; Pedreira, O. V.; Briggs, B.; Groven, B.; Dutta, S.; Popovici, M. I.; Heylen, N.; Ciofi, I.; Vanstreels, K.; et al. Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 Nm Advanced Interconnects beyond Copper. ACS Appl. Mater. Interfaces 2016, 8 (39), 26119–26125. ACS Paragon Plus Environment

23

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 28

(9)

Wang, P.-I.; Frey, M. D.; Washington, M.; Nayak, S.; Lu, T.-M. Resistivity of Sub-50 Nm Copper Lines Epitaxially Grown on Si(100) Substrate. Thin Solid Films 2012, 520 (19), 6106–6108.

(10)

Lee, H.-B.-R.; Bent, S. F. Nanopatterning by Area-Selective Atomic Layer Deposition. In Atomic Layer Deposition of Nanostructured Materials; Wiley-VCH Verlag GmbH & Co. KGaA: Weinheim, Germany, 2012; pp 193–225.

(11)

Mackus, A. J. M.; Bol, A. A.; Kessels, W. M. M. The Use of Atomic Layer Deposition in Advanced Nanopatterning. Nanoscale 2014, 6 (19), 10941–10960.

(12)

Longo, R. C.; McDonnell, S.; Dick, D.; Wallace, R. M.; Chabal, Y. J.; Owen, J. H. G.; Ballard, J. B.; Randall, J. N.; Cho, K. Selectivity of Metal Oxide Atomic Layer Deposition on Hydrogen Terminated and Oxidized Si(001)-(2×1) Surface. J. Vac. Sci. Technol. B 2014, 32 (3), 03D112.

(13)

Hashemi, F. S. M.; Prasittichai, C.; Bent, S. F. A New Resist for Area Selective Atomic and Molecular Layer Deposition on Metal–Dielectric Patterns. J. Phys. Chem. C 2014, 118 (20), 10957–10962.

(14)

Moshe, H.; Mastai, Y. Atomic Layer Deposition on Self-Assembled-Monolayers. In Materials Science Advanced Topics; InTech, 2013; pp 63–84.

(15)

Avila, J. R.; Demarco, E. J.; Emery, J. D.; Farha, O. K.; Pellin, M. J.; Hupp, J. T.; Martinson, A. B. F. RealTime Observation of Atomic Layer Deposition Inhibition: Metal Oxide Growth on Self-Assembled Alkanethiols. ACS Appl. Mater. Interfaces 2014, 6 (15), 11891–11898.

(16)

Hashemi, F. S. M.; Birchansky, B. R.; Bent, S. F. Selective Deposition of Dielectrics: Limits and Advantages of Alkanethiol Blocking Agents on Metal-Dielectric Patterns. ACS Appl. Mater. Interfaces 2016, 8 (48), 33264–33272.

(17)

Zhang, W.; Engstrom, J. R. Effect of Substrate Composition on Atomic Layer Deposition Using SelfAssembled Monolayers as Blocking Layers. J. Vac. Sci. Technol. A 2016, 34 (1), 01A107.

(18)

Lecordier, L.; Herregods, S.; Armini, S. Vapor-Deposited Octadecanethiol Masking Layer on Copper to Enable Area Selective Hf 3 N 4 Atomic Layer Deposition on Dielectrics Studied by in Situ Spectroscopic Ellipsometry. J. Vac. Sci. Technol. A 2018, 36 (3), 031605.

(19)

Paniagua, S. A.; Giordano, A. J.; Smith, O. L.; Barlow, S.; Li, H.; Armstrong, N. R.; Pemberton, J. E.; Brédas, J. L.; Ginger, D.; Marder, S. R. Phosphonic Acids for Interfacial Engineering of Transparent Conductive Oxides. Chem. Rev. 2016, 116 (12), 7117–7158.

(20)

Kerrigan, M. M.; Klesko, J. P.; Rupich, S. M.; Dezelah, C. L.; Kanjolia, R. K.; Chabal, Y. J.; Winter, C. H. Substrate Selectivity in the Low Temperature Atomic Layer Deposition of Cobalt Metal Films from Bis(1,4Di- Tert -Butyl-1,3-Diazadienyl)Cobalt and Formic Acid. J. Chem. Phys. 2017, 146 (5), 05813.

(21)

Wojtecki, R.; Mettry, M.; Fine Nathel, N. F.; Friz, A.; De Silva, A.; Arellano, N.; Shobha, H. Fifteen Nanometer Resolved Patterns in Selective Area Atomic Layer Deposition - Defectivity Reduction by Monolayer Design. ACS Appl. Mater. Interfaces 2018, 10, 38630–38637.

(22)

Ellinger, C. R.; Nelson, S. F. Selective Area Spatial Atomic Layer Deposition of ZnO, Al 2 O 3 , and Aluminum-Doped ZnO Using Poly(Vinyl Pyrrolidone). Chem. Mater. 2014, 26 (4), 1514–1522.

(23)

Singh, J. A.; Thissen, N. F. W.; Kim, W.-H.; Johnson, H.; Kessels, W. M. M.; Bol, A. A.; Bent, S. F.; Mackus, A. J. M. Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation. Chem. Mater. 2018, 30 (3), 663–670.

(24)

Sampson, M. D.; Emery, J. D.; Pellin, M. J.; Martinson, A. B. F. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide. ACS Appl. Mater. Interfaces 2017, 9 (39), 33429–33436.

(25)

Kim, W. H.; Minaye Hashemi, F. S.; Mackus, A. J. M.; Singh, J.; Kim, Y.; Bobb-Semple, D.; Fan, Y.; Kaufman-Osborn, T.; Godet, L.; Bent, S. F. A Process for Topographically Selective Deposition on 3D ACS Paragon Plus Environment

24

Page 25 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

Nanostructures by Ion Implantation. ACS Nano 2016, 10 (4), 4451–4458. (26)

Kannan Selvaraj, S.; Parulekar, J.; Takoudis, C. G. Selective Atomic Layer Deposition of Zirconia on Copper Patterned Silicon Substrates Using Ethanol as Oxygen Source as Well as Copper Reductant. J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2014, 32 (1), 010601.

(27)

Atanasov, S. E.; Kalanyan, B.; Parsons, G. N. Inherent Substrate-Dependent Growth Initiation and Selective-Area Atomic Layer Deposition of TiO 2 Using “Water-Free” Metal-Halide/Metal Alkoxide Reactants. J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2016, 34 (1), 01A148.

(28)

Vallat, R.; Gassilloud, R.; Eychenne, B.; Vallée, C. Selective Deposition of Ta2O5 by Adding Plasma Etching Super-Cycles in Plasma Enhanced Atomic Layer Deposition Steps. J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2017, 35 (1), 01B104.

(29)

Haider, A.; Deminskyi, P.; Khan, T. M.; Eren, H.; Biyikli, N. Area-Selective Atomic Layer Deposition Using an Inductively Coupled Plasma Polymerized Fluorocarbon Layer: A Case Study for Metal Oxides. J. Phys. Chem. C 2016, 120 (46), 26393–26401.

(30)

Chen, R.; Kim, H.; McIntyre, P. C.; Bent, S. F. Investigation of Self-Assembled Monolayer Resists for Hafnium Dioxide Atomic Layer Deposition. Chem. Mater. 2005, 17 (3), 536–544.

(31)

Paniagua, S. A.; Hotchkiss, P. J.; Jones, S. C.; Marder, S. R.; Mudalige, A.; Marrikar, F. S.; Pemberton, J. E.; Armstrong, N. R. Phosphonic Acid Modification of Indium-Tin Oxide Electrodes: Combined XPS/UPS/Contact Angle Studies. J. Phys. Chem. C 2008, 112 (21), 7809–7817.

(32)

Jiang, X.; Bent, S. F. Area-Selective ALD with Soft Lithographic Methods: Using Self-Assembled Monolayers to Direct Film Deposition. J. Phys. Chem. C 2009, 113 (41), 17613–17625.

(33)

Hotchkiss, P. J.; Jones, S. C.; Paniagua, S. A.; Sharma, A.; Kippelen, B.; Armstrong, N. R.; Marder, S. R. The Modification of Indium Tin Oxide with Phosphonic Acids: Mechanism of Binding, Tuning of Surface Properties, and Potential for Use in Organic Electronic Applications. Acc. Chem. Res. 2012, 45 (3), 337– 346.

(34)

Chen, R.; Kim, H.; McIntyre, P. C.; Porter, D. W.; Bent, S. F. Achieving Area-Selective Atomic Layer Deposition on Patterned Substrates by Selective Surface Modification. Appl. Phys. Lett. 2005, 86 (19), 1–3.

(35)

Schwartz, D. K. Mechanisms and Kinetics of Self-Assembled Monolayer Formation. Annu. Rev. Phys. Chem 2001, 52 (1), 107–137.

(36)

Losego, M. D.; Guske, J. T.; Efremenko, A.; Maria, J. P.; Franzen, S. Characterizing the Molecular Order of Phosphonic Acid Self-Assembled Monolayers on Indium Tin Oxide Surfaces. Langmuir 2011, 27 (19), 11883–11888.

(37)

Cerruti, M.; Rhodes, C.; Losego, M.; Efremenko, A.; Maria, J.-P.; Fischer, D.; Franzen, S.; Genzer, J. Influence of Indium–tin Oxide Surface Structure on the Ordering and Coverage of Carboxylic Acid and Thiol Monolayers. J. Phys. D. Appl. Phys. 2007, 40 (14), 4212–4221.

(38)

Dietrich, H.; Schmaltz, T.; Halik, M.; Zahn, D. Molecular Dynamics Simulations of Phosphonic Acid– aluminum Oxide Self-Organization and Their Evolution into Ordered Monolayers. Phys. Chem. Chem. Phys. 2017, 19 (7), 5137–5144.

(39)

Chockalingam, M.; Magenau, A.; Parker, S. G.; Parviz, M.; Vivekchand, S. R. C.; Gaus, K.; Gooding, J. J. Biointerfaces on Indium-Tin Oxide Prepared from Organophosphonic Acid Self-Assembled Monolayers. Langmuir 2014, 30 (28), 8509–8515.

(40)

Chockalingam, M.; Darwish, N.; Le Saux, G.; Gooding, J. J. Importance of the Indium Tin Oxide Substrate on the Quality of Self-Assembled Monolayers Formed from Organophosphonic Acids. Langmuir 2011, 27 (6), 2545–2552. ACS Paragon Plus Environment

25

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 28

(41)

Thissen, P.; Valtiner, M.; Grundmeier, G. Stability of Phosphonic Acid Self-Assembled Monolayers on Amorphous and Single-Crystalline Aluminum Oxide Surfaces in Aqueous Solution. Langmuir 2010, 26 (1), 156–164.

(42)

Koutsioubas, A. G.; Spiliopoulos, N.; Anastassopoulos, D. L.; Vradis, A. A.; Priftis, G. D. Formation of Alkane-Phosphonic Acid Self-Assembledmonolayers on Alumina: An in Situ SPR Study. Surf. Interface Anal. 2009, 41 (11), 897–903.

(43)

Lange, I.; Reiter, S.; Pätzel, M.; Zykov, A.; Nefedov, A.; Hildebrandt, J.; Hecht, S.; Kowarik, S.; Wöll, C.; Heimel, G.; et al. Tuning the Work Function of Polar Zinc Oxide Surfaces Using Modified Phosphonic Acid Self-Assembled Monolayers. Adv. Funct. Mater. 2014, 24 (44), 7014–7024.

(44)

Hanson, E. L.; Schwartz, J.; Nickel, B.; Koch, N.; Danisman, M. F. Bonding Self-Assembled, Compact Organophosphonate Monolayers to the Native Oxide Surface of Silicon. J. Am. Chem. Soc. 2003, 125 (51), 16074–16080.

(45)

Guerrero, G.; Mutin, P. H.; Vioux, A. Anchoring of Phosphonate and Phosphinate Coupling Molecules on Titania Particles. Chem. Mater. 2001, 13 (11), 4367–4373.

(46)

Han, X.; Sun, X.; He, T.; Sun, S. Formation of Highly Stable Self-Assembled Alkyl Phosphonic Acid Monolayers for the Functionalization of Titanium Surfaces and Protein Patterning. Langmuir 2015, 31 (1), 140–148.

(47)

Fonder, G.; Minet, I.; Volcke, C.; Devillers, S.; Delhalle, J.; Mekhalif, Z. Anchoring of Alkylphosphonic Derivatives Molecules on Copper Oxide Surfaces. Appl. Surf. Sci. 2011, 257 (14), 6300–6307.

(48)

Hashemi, F. S. M.; Prasittichai, C.; Bent, S. F. Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition. ACS Nano 2015, 9 (9), 8710–8717.

(49)

Seo, S.; Oh, I.-K.; Yeo, B. C.; Han, S. S.; Yoon, C. M.; Yang, J. Y.; Yoon, J.; Yoo, C.; Kim, H.; Lee, Y.; et al. Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al2O3 Nanopatterns. ACS Appl. Mater. Interfaces 2017, 9 (47), 41607–41617.

(50)

Prasittichai, C.; Pickrahn, K. L.; Hashemi, F. S. M.; Bergsman, D. S.; Bent, S. F. Improving Area-Selective Molecular Layer Deposition by Selective SAM Removal. ACS Appl. Mater. Interfaces 2014, 6 (20), 17831– 17836.

(51)

Cabrita, J. F.; Viana, A. S.; Abrantes, L. M. Copper Protection By Phosphonic Acid Self-Assembled Monolayers. Corros. e Prot. Mater. 2010, 29 (4), 114–119.

(52)

Zhang, W.; Engstrom, J. R. Effect of Substrate Composition on Atomic Layer Deposition Using SelfAssembled Monolayers as Blocking Layers. J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2016, 34 (1), 01A107.

(53)

Jalali, H.; Gates, B. D. Monitoring and Mapping Imperfections in Silane-Based Self-Assembled Monolayers by Chemical Amplification. Langmuir 2009, 25 (16), 9078–9084.

(54)

Kiani, A.; Alpuche-Aviles, M. A.; Eggers, P. K.; Jones, M.; Gooding, J. J.; Paddon-Row, M. N.; Bard, A. J. Scanning Electrochemical Microscopy. 59. Effect of Defects and Structure on Electron Transfer through Self-Assembled Monolayers. Langmuir 2008, 24 (6), 2841–2849.

(55)

Gladfelter, W. L. Selective Metallization by Chemical Vapor Deposition. Chem. Mater. 1993, 5 (10), 1372– 1388.

(56)

Dubey, M.; Weidner, T.; Gamble, L. J.; Castner, D. G. Structure and Order of Phosphonic Acid-Based SelfAssembled Monolayers on Si(100). Langmuir 2010, 26 (18), 14747–14754.

(57)

Bergsman, D. S.; Liu, T. L.; Closser, R. G.; Nardi, K. L.; Draeger, N.; Hausmann, D. M.; Bent, S. F. Formation and Ripening of Self-Assembled Multilayers from the Vapor-Phase Deposition of Dodecanethiol ACS Paragon Plus Environment

26

Page 27 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

on Copper Oxide. Chem. Mater. 2018, 30 (16), 5694–5703. (58)

Douglass Jr, E. F.; Driscoll, P. F.; Liu, D.; Burnham, N. A.; Lambert, C. R.; Grant McGimpsey, W.; Electrochem, H. Effect of Electrode Roughness On the Capacitive Behavior of Self-Assembled Monolayers. Impedance Spectrosc. Theory, Exp. Appl. 2005, 105 (2), 7670–7677.

(59)

McCafferty, E.; Wightman, J. P. Determination of the Concentration of Surface Hydroxyl Groups on Metal Oxide Films by a Quantitative XPS Method. Surf. Interface Anal. 1998, 26 (8), 549–564.

(60)

Simmons, G. W.; Beard, B. C. Characterization of Acid-Base Properties of the Hydrated Oxides on Iron and Titanium Metal Surfaces. J. Phys. Chem. 1987, 91 (5), 1143–1148.

(61)

Kung, H. H. Transition Metal Oxides; Elsevier, 1989; Vol. 45.

(62)

Pujari, S. P.; Scheres, L.; Marcelis, A. T. M.; Zuilhof, H. Covalent Surface Modification of Oxide Surfaces. Angew. Chemie - Int. Ed. 2014, 53 (25), 6322–6356.

(63)

Shannon, R. D. Revised Effective Ionic Radii and Systematic Studies of Interatomic Distances in Halides and Chalcogenides. Acta Crystallogr. Sect. A 1976, 32 (5), 751–767.

(64)

Pearson, R. G. Hard and Soft Acids and Bases. J. Am. Chem. Soc. Phys. Inorg. Chem. 1963, 85 (22), 3533– 3539.

(65)

Cabrera-German, D.; Gomez-Sosa, G.; Herrera-Gomez, A. Accurate Peak Fitting and Subsequent Quantitative Composition Analysis of the Spectrum of Co 2p Obtained with Al Kα Radiation: I: Cobalt Spinel. Surf. Interface Anal. 2016, 48 (5), 252–256.

(66)

Walker, P.; Tarn, W. H.; Raton, B.; London, B.; Washington, N. Y. HANDBOOK of METAL ETCHANTS; 1991.

(67)

Jiao, K. L.; Jia, Q. X.; Anderson, W. A. Stability of RuO2 Thin Film Resistors. Thin Solid Films 1993, 227 (1), 59–65.

(68)

Widenkvist, E.; Quinlan, R. A.; Holloway, B. C.; Grennberg, H.; Jansson, U. Synthesis of Nanostructured Tungsten Oxide Thin Films. Cryst. Growth Des. 2008, 8 (10), 3750–3753.

(69)

Vesel, A.; Drenik, A.; Zaplotnik, R.; Mozetic, M.; Balat-Pichelin, M. Reduction of Thin Oxide Films on Tungsten Substrate with Highly Reactive Cold Hydrogen Plasma. Surf. Interface Anal. 2010, 42 (6–7), 1168–1171.

(70)

Lee, E.-J.; Kim, J.-W.; Lee, W.-J. Reactive Ion Etching Mechanism of RuO 2 Thin Films in Oxygen Plasma with the Addition of CF 4 , Cl 2 , and N 2 . Jpn. J. Appl. Phys. 1998, 37 (Part 1, No. 5A), 2634–2641.

ACS Paragon Plus Environment

27

Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 28 of 28

For Table of Contents Only

ACS Paragon Plus Environment

28