Atomic Layer Deposited Oxide-Based ... - ACS Publications

Jan 31, 2017 - ... Compounds, School of Chemistry and Chemical Engineering, Anqing Normal ... The dominant conduction mechanisms in low- and high-resi...
1 downloads 0 Views 8MB Size
Research Article www.acsami.org

Atomic Layer Deposited Oxide-Based Nanocomposite Structures with Embedded CoPtx Nanocrystals for Resistive Random Access Memory Applications Lai-Guo Wang,†,‡ Zheng-Yi Cao,† Xu Qian,† Lin Zhu,† Da-Peng Cui,† Ai-Dong Li,*,† and Di Wu† †

National Laboratory of Solid State Microstructures and Department of Materials Science and Engineering, College of Engineering and Applied Sciences, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093, P. R. China ‡ Anhui Key Laboratory of Functional Coordination Compounds, School of Chemistry and Chemical Engineering, Anqing Normal University, Anhui 246011, P. R. China S Supporting Information *

ABSTRACT: Al2O3- or HfO2-based nanocomposite structures with embedded CoPtx nanocrystals (NCs) on TiNcoated Si substrates have been prepared by combination of thermal atomic layer deposition (ALD) and plasma-enhanced ALD for resistive random access memory (RRAM) applications. The impact of CoPtx NCs and their average size/density on the resistive switching properties has been explored. Compared to the control sample without CoPtx NCs, ALDderived Pt/oxide/100 cycle-CoPtx NCs/TiN/SiO2/Si exhibits a typical bipolar, reliable, and reproducible resistive switching behavior, such as sharp distribution of RRAM parameters, smaller set/reset voltages, stable resistance ratio (≥102) of OFF/ON states, better switching endurance up to 104 cycles, and longer data retention over 105 s. The possible resistive switching mechanism based on nanocomposite structures of oxide/CoPtx NCs has been proposed. The dominant conduction mechanisms in low- and high-resistance states of oxide-based device units with embedded CoPtx NCs are Ohmic behavior and space-charge-limited current, respectively. The insertion of CoPtx NCs can effectively improve the formation of conducting filaments due to the CoPtx NC-enhanced electric field intensity. Besides excellent resistive switching performances, the nanocomposite structures also simultaneously present ferromagnetic property. This work provides a flexible pathway by combining PEALD and TALD compatible with state-of-the-art Si-based technology for multifunctional electronic devices applications containing RRAM. KEYWORDS: nonvolatile memory, atomic layer deposition, resistance random access memory, metal oxide, nanocrystal, CoPtx, ferromagnetic property

1. INTRODUCTION The stringent and tremendous demand for nonvolatile memories with higher density, faster speed, and lower power consumption has driven the continued dimensional scaling down in Si-based integrated circuits (ICs) and computer processors. When the technology node enters the 22 nm generation, the conventional flash memory technique will reach its physical and integration limitations, and many new types of memories have been proposed. Among them, the resistive random access memory (RRAM) has been considered to be one of the most promising candidates because of its simple cell structure, low power consumption and manufacturing cost, superior scalability to tens of nanometers, and excellent complementary metal-oxide semiconductor (CMOS) compatibility.1,2 At present, the oxide materials have been investigated extensively for RRAM applications, such as NiOx,3 TiO2,4,5 ZrO2,6 HfO2,7,8 ZnO,9 and MnOx.10,11 However, devices using © XXXX American Chemical Society

the alternative metal oxides suffer from dispersed resistive switching parameters, such as the resistance values of low and high resistance states (LRS and HRS), the required set voltages from the HRS to the LRS, and reset voltages from the LRS to the HRS, which may lead to false programming and readout hazards.12 The random formation and rupture of the conducting filaments with varying sizes in oxide films are thought as the main reason for the nonuniformity of oxide resistive switching parameters.13 At the same time, single resistive oxide thin films usually have higher set voltage and relatively large reset current, which is not conducive to high density integration and low power operation. Several methods have been attempted to solve this problem, such as doping, embedding nanoparticles, minimizing grain boundaries, device Received: December 15, 2016 Accepted: January 31, 2017 Published: January 31, 2017 A

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces area scaling, and bilayer structures in the memory units.14−20 Some research groups have demonstrated that the introduction of metal nanocrystals (NCs)21−31 such as Au, Ru, Cr, Cu, and Pt or nonmetallic NCs32−37 such as NiO, Cu2O, and CdS in oxide films is a simple and effective method to improve the dispersion of the RRAM conversion parameters significantly. The feasible fabrication processing of RRAM devices with embedded NCs is still lacking in compatibility with Si-based chip technology now. Atomic layer deposition (ALD) is a kind of novel thin film/ nanocrystal deposition technique based on unique sequential self-limited and complementary surface chemisorption reactions using precursor vapor.38,39 Since 2001 the international technology roadmap for semiconductors (ITRS) regarded ALD as candidate technology preferred for microelectronics industry along with metalorganic chemical vapor deposition (MOCVD) and plasma-enhanced CVD,40 ALD has become one of the most promising and competitive deposition approaches for microelectronics, optoelectronics, and nanotechnology due to its unique advantages such as simple and precise thickness control, excellent three-dimensional (3D) conformality, largearea uniformity, good reproducibility, and low growth temperature, especially compatibility with traditional semiconductor processing. Plasma-enhanced ALD (PEALD) using plasma species as reactants allows for more freedom in processing conditions such as substrate temperature and choice of precursors and for a wider range of materials such as metal and nitride compared with the conventional thermally driven ALD method. CoPt/FePt alloy ferromagnetic NCs with face-centered tetragonal (fct) structure has extremely high magnetocrystalline anisotropy, higher work function, good chemical stability, and resistance to oxidation, regarded as the most promising candidate for ultrahigh-density magnetic recording media.41 Meanwhile, CoPt/FePt NCs and their multifunctional surfaces have also shown great potentials in biomedical applications such as multimodality imaging probes and target-specific drug/ gene delivery.42 However, until now, there is no report on CoPt/FePt NCs embedded in oxide-based RRAM devices yet. Work on ALD-derived CoPt/FePt is rather rare yet now. In this paper, we fabricated a kind of new RRAM device unit of oxide-based nanocomposite structures by inserting monolayer CoPtx NCs on TiN-coated Si substrates completely via combination of thermal-ALD (TALD) and PEALD. Here, oxide materials contain TALD Al2O3 or HfO2. Figure 1 is the

schematic of the RRAM device structures of oxide (Al2O3 or HfO2)/CoPtx NCs on TiN-coated Si with Pt top electrode. The impact of CoPtx NCs and their average size/density on the resistive switching properties has been explored. Compared to the control sample without CoPtx NCs, ALD-derived Pt/oxide/ 100 cycle-CoPtx NCs/TiN/SiO2/Si exhibits excellent resistive switching performances with sharp distribution of RRAM parameters and smaller set/reset voltages. The possible resistive switching mechanism based on oxide/CoPtx NCs has been proposed. The magnetic property was also evaluated.

2. EXPERIMENTAL SECTION Thermal ALD and PEALD were performed in a commercial flow-type hot-wall reactor (Picosun SUNALE R-200B, Finland). P-type Si (100) wafers with a resistivity of 1−10 Ω·cm were used as the starting substrates. After the conventional RTA cleaning of the Si wafers without removing native oxide with the diluted HF solution, 30−60 nm thick TiN was deposited on Si as bottom electrode at 400 °C using TiCl4 at room temperature and NH3 plasma gas as the Ti and N sources by PEALD with a remote indirect plasma system (Litmas, Advanced Energy).43 Liquid NH3 at room temperature was used as NH3 plasma source. The plasma power and NH3 gas flow rate were 2500 W and 150 sccm, respectively. Then, CoPtx NCs were grown on as-deposited TiN/SiO2/Si substrates at 300 °C by PEALD, using PtMeCpMe3, CoCp(CO)2, and H2 plasma as the Pt, Co, and reducing sources, respectively. One CoPtx cycle contained one Co subcycle and one Pt subcycle, where one Co subcycle consisted of 3 s Co source injection, 6 s N2 purging, 21.5 s H2 plasma injection, and 6 s N2 purging and one Pt subcycle of 0.2 s Pt source injection, 6 s N2 purging, 21.5 s H2 plasma injection, and 6 s N2 purging. H2 (99.99%) was used as H2 plasma source. The plasma power and H2 gas flow rate were 2500 W and 100 sccm, respectively. PtMeCpMe3 was evaporated at 80 °C. Pure N2 was used as purge gas and carrier gas for metal source. Ar (99.999%) was used as carrier gas of H2 plasma. The grain size and density of CoPtx NCs were adjusted by changing the ALD cycles from 60 to 100. Subsequently, 10 nm thick Al2O3 or HfO2 thin film was deposited on CoPtx NCs-coated TiN/SiO2/Si substrates at 250 °C with 100 cycles by TALD. The Al, Hf, and O sources were trimethylaluminum (TMA), Hf[N(C2H5)CH3]4 (TEMAH), and H2O, respectively. One Al2O3 cycle consisted of 0.1 s Al source injection, 4 s N2 purging, 0.1 s H2O injection, and 4 s N2 purging and one HfO2 cycle of 0.1 s Hf source injection, 4 s N2 purging, 0.1 s H2O injection, and 4 s N2 purging. Pure N2 (99.999%) was also used as carrier gas and purge gas. Finally, 120 nm thick Pt top electrodes were dc sputtered through a shadow mask with a diameter of 150 μm. Postannealing was performed at 550 °C for 20 s in N2 using rapid thermal annealing. Table S1 lists PEALD and TALD processing parameters for fabrication several RRAM devices. The growth per cycle (GPC) of pure Al2O3 or HfO2 on Si was determined by a spectroscopic ellipsometer (GES-5, Annecy-le-Vieux, France), and the nominal thickness of Al2O3 or HfO2 thin films on CoPtx NCs/TiN-coated Si was evaluated to be about 10 nm. The surface morphology, particle size, and distribution of the CoPtx NCs on TiN-coated TEM grids and the cross-section microstructures and actual thickness of Al2O3/CoPtx NCs on TiN-coated Si substrates were observed by a transmission electron microscope (TEM, Tecnai G2F20 S-Twin, FEI, Hillsboro, OR) operating at 200 kV. In order to determine the chemical components and states, the samples were analyzed by X-ray photoelectron spectroscopy (XPS, Thermo Fisher K-Alpha, Waltham, MA) with a monochromatic Al Kα source (hν = 1486.6 eV) for excitation of photoelectrons. The binding energy was calibrated by setting the C 1s photoemission at 284.6 eV. The XPS depth profile of Al2O3/CoPtx NCs on TiN-coated Si was obtained by Ar ion etching. The resistive switching properties were measured under different modes using a Keithley 4200-SCS semiconductor parameter analyzer on probe station (CasCade Summit 12000 B-M). A Keithley 2400 source-measure unit was used to test the endurance

Figure 1. Schematic of the RRAM device of nanocomposite structures of oxide/CoPtx NCs on TiN-coated Si with Pt top electrode. TiN bottom electrodes and CoPtx NCs were prepared by PEALD and oxide (Al2O3 or HfO2) thin films by TALD. B

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Figure 2. Plan-view TEM images of PEALD CoPtx NCs deposited directly onto TiN coated TEM grids with 60 (a) and 100 (b) ALD cycles. Crosssection TEM images of Al2O3/100 cycle-CoPtx NCs/TiN/SiO2/Si stacking structures by TALD and PEALD (c) and magnification view of A region (d). and retention of the device units. A current compliance no more than 1−10 mA was imposed to protect the fabricated device units from damages of high currents during set and reset processes. The M−H curve was measured in a superconductivity quantum interference device magnetometer (SQUID-VSM, Quantum design) at room temperature. The applied magnetic field during measuring was parallel to the film.

postannealing. Monolayer CoPtx NCs on TiN have been successfully embedded into 12.2 nm thick Al2O3 thin films with average CoPtx NC height of ∼9 nm. The measured thickness of TiN/SiO2 on Si is 62.3 and 3.1 nm, respectively. The nominal Al2O3 layer thickness should be 10 nm. The presence of embedded CoPtx NCs increases the thickness of the Al2O3 layer by about 2 nm. It is worth noting that the top Al2O3 layer is very flat and homogeneous. To characterize the chemical compositions and valence states of the Al2O3/CoPtx NCs on TiN-coated Si after 550 °C postannealing in N2 atmosphere, narrow-scan XPS spectra were collected after Ar ion etching for various time. The spectra were first treated by smart-type background subtraction and then fitted with Gaussian−Lorentzian (G−L) functions. Figures 3a and 3b show the XPS spectra of Co 2p and Pt 4d after Ar ion etching for 240 s, respectively. In Figure 3a, the strongest spin−orbit doublet peaks at 792.95 and 777.94 eV with a spin−orbital splitting of 15.01 eV correspond to Co 2p1/2 and 2p3/2, resulting from pure metallic cobalt.44 Meanwhile, the other XPS signals at 795.7, 779.78, and 782.4 eV with relatively higher binding energy come from oxidized cobalt (Co2+ or Co3+).45 Moreover, the satellite peaks at the high binding energy side of the main peaks (786.07 and 802.5 eV) are observed, indicating the Co2+ or Co3+ feature.45 The Pt 4d spectrum in Figure 3b has two peaks at 331.7 and 314.7 eV with a spin−orbital splitting of 17.0 eV, indicative of pure metallic platinum.46 This result elucidates that PEALD-derived CoPtx NCs contain valence states of oxidized cobalt. The two

3. RESULTS AND DISCUSSION Figures 2a and 2b show the TEM images of PEALD CoPtx NCs deposited directly onto TiN-coated TEM grids after 60 and 100 ALD cycles, respectively. The effect of ALD cycles on the average size and density of CoPtx NCs has been characterized. After 60 ALD cycles, some smaller CoPtx NCs with quasi-spherical shape are distributed randomly on TiN surface. Several CoPtx NCs can be observed to merge together to form larger elongated grains of ∼5−7 nm. After 100 ALD cycles, the merging and coalescence of CoPtx NCs become very obvious. The CoPtx NCs show irregular shapes with evidently larger size of ∼15 nm. The average size/density of CoPtx NCs is about 3 nm/7.3 × 1011/cm2 and 9 nm/1.2 × 1012/cm2 after 60 and 100 ALD cycles by measuring around 80−140 different CoPtx NCs, respectively. Cross-section TEM images and magnification view of the RRAM device of Al2O3/100 cycle-CoPtx NCs deposited on TiN-coated Si substrates are recorded in Figures 2c and 2d, respectively. The laminated structures of Al2O3/CoPtx NCs/ TiN/Si have been recognized clearly with the native oxide layer of SiO2 between TiN and Si substrates after 550 °C C

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Figure 3. Narrow-scan XPS spectra from Al2O3/CoPtx NCs on TiN-coated Si after postannealing: (a) Co 2p, (b) Pt 4d after Ar ion etching for 240 s, (c) Al 2p, and (d) O 1s after Ar ion etching for 60 s.

Figure 4. Typical I−V curves of several device units of (a) control sample of Pt/Al2O3/TiN-coated Si without CoPtx NCs, (b) Pt/Al2O3/100 cycleCoPtx NCs/TiN-coated Si, (c) Pt/Al2O3/60 cycle-CoPtx NCs/TiN-coated Si, and (d) Pt/HfO2/100 cycle-CoPtx NCs/TiN-coated Si for 50 times tests. The initial electroforming process is denoted with 1, 2, 3, and 4.

factors might lead to oxidized cobalt existence in CoPtx NCs. One hand, after CoPtx NCs deposition, the following Al2O3 thin film was deposited on CoPtx NCs-coated TiN/SiO2/Si substrates by TALD using H2O as the O source. The H2O injection might cause the oxidation of metallic Co. On the other hand, the postannealing 550 °C in N2 also possibly

produces the oxidized cobalt because the CoPtx NCs are embedded in Al2O3 layer containing rich oxygen element. Based on our previous work on monolayer FePt nanocrystal self-assembly embedded into atomic-layer-deposited Al2O3 films, the postannealing at 500 °C for 5 min in O2 atmosphere can form core−shell structure of fcc-FePtx NCs core with D

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Table 1. Resistive Switching Parameters of Several Device Units of Oxide-Based Nanocomposite Structures with or without CoPtx NCs on TiN-Coated Si device unit

forming voltage

Pt/Al2O3/TiN Pt/Al2O3/ 60-cycle CoPtx NCs/TiN Pt/Al2O3/100-cycle CoPtx NCs/TiN Pt/HfO2/100-cycle CoPtx NCs/TiN

−2.6 V forming-free −1.1 V −1.5 V

set voltage (V) −2.30 −0.24 −0.82 −1.20

to to to to

−1.22 −0.07 −0.64 −0.75

reset voltage (V)

resistance ratio of HRS/LRS

dispersibility

endurance

1.42−2.24 0.99−1.21 0.88−0.96 0.72−0.86

>100 ≈10 ≈100 ≈100

poor good excellent excellent

poor fair better better

Figure 5. Cumulative probability of set/reset voltages (a) and set/reset currents (b) measured from a Pt/Al2O3/100-cycle CoPtx NCs/TiN/Si device unit for 200 times tests.

Fe2O3 shell.47 Here, the surface of CoPtx NCs after ALD Al2O3 deposition and 550 °C postannealing probably also form cobalt oxide shell. The x value of CoPtx NCs determined by XPS is around 0.4−0.6 for 60−100 ALD cycles. In order to characterize ALD CoPtx phase and magnetic property, we also prepared thicker CoPtx thin films with 1000 ALD cycles postannealed at 550 °C in a N2 atmosphere. The XRD pattern shows mixed phases containing ferromagnetic fct CoPt, disordered fcc CoPt, and Co3O4, as seen in Figure S1. Figures 3c and 3d show the XPS spectra of Al 2p and O 1s after Ar ion etching for 60 s, respectively. The deconvolution of Al 2p and O 1s lines was performed. The Al 2p peak located at 74.4 eV comes from the Al−O bonding of Al2O3. A weak peak at 73.75 eV with slightly low binding energy is assigned to the reduced Al ions with low valence state. The O 1s spectrum can be fitted to two peaks. The strongest peak at 531.6 eV results from the resistive switching functional oxide of Al2O3 film,48 and the weaker peak at 532.1 eV is associated with the oxygen vacancies in Al2O3 layer based on the literature report.49 The inset in Figures 3c and 3d lists the area proportion of each peak. The percentage of the reduced low valence state Al ion and oxygen vacancies in the Al2O3 layer is about 3.7% and 5.53%, respectively. The HfO2/CoPtx NCs on TiN-coated Si also shows similar XPS results. The oxide-based RRAM devices usually obey the oxygen vacancy conductive filament-switching mechanism. The oxygen vacancies play an important role to determine the resistive switching behavior. Based on the TEM and XPS results, the stacking structures of Al2O3 or HfO2/CoPtx NCs on TiN-coated Si have been fabricated by TALD and PEALD successfully. Next their resistive switching properties will be evaluated carefully. The I−V curves of several device units of (a) control sample of Pt/Al2O3/TiN, (b) Pt/Al2O3/100 cycle-CoPtx NCs/TiN, (c) Pt/Al2O3/60 cycle-CoPtx NCs/TiN, and (d) Pt/HfO2/100 cycle-CoPtx NCs/TiN for 50 times tests containing the initial electroforming process are plotted in Figures 4a−d, respectively, indicating a typical bipolar resistive switching characteristics. For almost all the samples, the electroforming process is prerequisite to form conductive channels before the switching

test. The control sample without CoPtx NCs has the largest forming voltage of −2.6 V, while the oxide-based devices containing CoPtx NCs manifest smaller forming voltage such as −1.0 V for Pt/Al2O3/100 cycle-CoPtx NCs/TiN and −1.6 V for Pt/HfO2/100 cycle-CoPtx NCs/TiN. It is noteworthy that the electroforming process cannot be observed in Pt/Al2O3/60 cycle-CoPtx NCs/TiN sample, as shown in Figure 4c. There is no difference between forming voltage and set voltage for this sample. As known, the variation of switching parameters in RRAM devices is one major challenge. The control sample without CoPtx NCs exhibits very poor dispersibility of resistive switching parameters and larger operation voltage, such as broad distribution for set voltage from −2.30 to −1.22 V and for reset voltage from 1.42 to 2.24 V in a single device unit (Figure 4a). Whereas, the oxide-based device units containing CoPtx NCs show reproducible resistive switching behavior, such as sharp distribution of set and reset voltages and stable resistance ratio of HRS/LRS states. Moreover, the set/reset voltage for the RRAM devices with embedded CoPtx NCs becomes much smaller. For example, in Figure 4b, the device units of Pt/Al2O3/100 cycle-CoPtx NCs/TiN display average set/reset voltage of −0.72 V/0.93 V with resistance ratio of ∼100. In Figure 4c, the device units of Pt/Al2O3/60 cycleCoPtx NCs/TiN have average set/reset voltage of −0.22 V/ 1.25 V with lower resistance ratio of ∼10. Meanwhile, a selfcompliance behavior is observed in the range from −0.3 to −1.2 V in the I−V response, which might be related to the internal filament resistance effect.50 In order to further confirm the prominent effect of the CoPtx NCs on the uniformity of resistive switching parameters, the Al2O3 thin films were replaced with HfO2. The Pt/HfO2/100 cycle-CoPtx NCs/TiN also show better monodispersion in RRAM parameters (Figure 4d). Therefore, the incorporation of CoPtx NCs into Al2O3 or HfO2 films greatly decreases the operation voltage, beneficial to the drop of power consumption. The related resistive switching parameters of various oxidebased device structures with and with CoPtx NCs have been summarized in Table 1. E

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

Figure 6. Endurance and retention characteristics of Pt/Al2O3/100-cycle CoPtx NCs/TiN/Si (a, b) and Pt/HfO2/100-cycle CoPtx NCs/TiN/Si (c, d) device units.

Figure 7. Piecewise linear fitting for the I−V curves in log−log coordinates and the corresponding slopes for each regions of Pt/Al2O3/100-cycle CoPtx NCs/TiN/Si: (a) ON state and (b) OFF state. The region marked by the dotted line for the warped tail phenomenon of the I−V curves.

85 °C with the reading voltage of 0.1 V. The Al2O3-based device unit shows no obvious degradation with a stable resistance ratio of 100 after more than 104 write/erase cycles. Both HRS and LRS remain almost unchanged at 0.1 V reading for cumulative waiting time of 105 s at RT with stable resistance ratio of LRS/HRS above 100. Even if measured at 85 °C, the Al2O3-based device unit also displays better thermal stability and larger resistance ratio of 100 after cumulative waiting time of 104 s. It can be inferred that the memory units have over 10year lifetime by using the extrapolation method. HfO2-based device units with 100-cycle CoPtx NCs show similar long endurance and retention properties, as seen in Figures 6c,d. The endurance and retention tests have been done for other device structures. The control sample of Pt/Al2O3/TiN easily shows switching failure within 300 write/erase cycles (Figure S3). Although Pt/Al2O3/60-cycle CoPtx NCs/TiN device units display sharp distribution of set and reset voltages, their endurance performance is not as good as that of oxide-based composites containing 100-cycle CoPtx NCs (Figure S4). In a word, the grain size and density of CoPtx NCs produce some

For high-density memory application, uniformity of both set and reset voltages is very important. Figure S2 and Figure 5a further show the statistical results of distribution and cumulative probability of the set and reset voltages measured from a single device unit of Pt/Al2O3/100-cycle CoPtx NCs/ TiN/Si for 200 times tests. The set and reset voltages vary from −0.82 to −0.64 V and from 0.88 to 0.96 V, respectively, indicative of very narrow distribution. Figure 5b also exhibits stable set/reset current distributions. The average value of set and reset current is around 0.05 mA and 3.4 mA, respectively. We also measured 10 randomly selected device units with similar results, implying that the introduction of CoPtx NCs in oxide-based RRAM devices greatly improves the consistency of resistive switching parameters. The endurance and retention properties of Pt/Al2O3 or HfO2/100-cycle CoPtx NCs/TiN/Si memory cells have been examined, as seen in Figures 6a−d. The sweeping voltage was applied from 0 to −2 V for set and 0 to 2 V for reset. The ON and OFF resistance values were read using 0.1 V at room temperature (RT). The retention tests were measured at RT or F

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces

top electrode and develop into conductive filaments (CFs) under the driving force of the external electric field. The introduction of CoPtx NCs on TiN bottom electrode in Al2O3 or HfO2 films produces stronger localized electric field at the tip of CoPtx NCs due to the larger curvature of CoPtx NCs54,55 compared to at the planar region. The CF prefers to grow at the location of CoPtx NC site under applied low voltage. Hence, CFs are easily formed in the same paths in reduplicate during SET process, which is advantageous to minimize the distribution of set voltage and resistance in LRS. The I−V relationship is dominated by Ohmic emission mechanism. Compared to Pt/Al2O3/100-cycle CoPtx NCs/TiN/Si, Pt/ Al2O3/60-cycle CoPtx NCs/TiN/Si sample contains smaller average size (∼3 nm) and lower areal density (7.3 × 1011/cm2) of CoPtx NCs. The 3 nm CoPtx NCs have larger curvature than 9 nm CoPtx NCs, leading to the much larger intensity of the electric field at the tip of NCs. It is beneficial to accelerate CF formation process with lower switching threshold voltage. Consequently, Al2O3-based RRAM sample with 60-cycle CoPtx NCs exhibits least average set voltage of −0.15 V. During RESET process, Pt top electrode is positively biased. As the applied voltage reaches the trap-filled-limit voltage (VTFL), the injected oxygen ions from bottom electrode will fill empty trap sites, leading to the decline of free oxygen ion concentration. When the voltage reaches the reset voltage, all oxygen vacancy traps have been occupied by oxygen ions. As a result, the current is rapidly reduced at the beginning and the device switches from the LRS to the HRS, implying the rupture of CFs. Continuing to increase the voltage, the number of free oxygen ions will increase to certain degree with the slight current increase, which causes the warped tail of the I−V curves, as indicated in region marked by the dotted line (Figure 7b). At reset state, the CoPtx NCs-enhanced electric field in Al2O3 or HfO2 films also facilitates the partial rupture of the CFs at the location of CoPtx NCs, which exhibits narrow distribution of reset voltage and resistance in HRS. The I−V relationship is dominated by trap-controlled SCLC mechanism by oxygen ions trapping or detrapping from oxygen vacancies traps in Al2O3 or HfO2 film. In order to confirm the above mechanism, the chemical states of Al 2p and O 1s XPS spectra for both LRS and HRS status in Al2O3-based samples with 100-cycle CoPtx NCs have been checked, as shown in Figure S7. The deconvolution of Al 2p and O 1s lines has been performed. The oxygen vacancy concentration of Al2O3 film in LRS status is much higher than that in HRS status; correspondingly, the reduced Al ion content with a low valence state from Al3+ in LRS status is also much higher than that in HRS status, in good agreement with the above analyses. Finally, we also examined the magnetic property of Al2O3/ 100 cycle-CoPtx NCs/TiN/SiO2/Si at room temperature, as recorded in Figure 8. The hysteresis loop confirms that ALD CoPtx films exhibit ferromagnetic property with relatively lower coercivity of 72 Oe due to the existence of fcc CoPt and Co3O4 phase. These results also indicate the possible formation of core−shell structure of fcc and fct-CoPtx NCs core with Co3O4 shell. Above all, it is the first demonstration of PEALD route to produce CoPtx NCs for oxide-based RRAM application. Besides excellent resistive switching performances, this fabrication process combining PEALD and TALD is compatible with state-of-the-art Si-based chip technology. Simultaneously, nanocomposite structures of CoPtx NCs/oxide also bring new

influences on the resistive switching performances, such as electroforming, set/reset voltage, resistance ratio of LRS/HRS, and endurance. Pt/Al2O3/60-cycle CoPtx NCs/TiN device units show evidently lower set/reset voltage and resistance ratio of LRS/HRS of 10 with free-electroforming operation. In addition, we also fabricated Al2O3-based device with 100cycle Co or Pt NCs and measured their resistive switching properties (Figures S5 and S6). It is found that compared to samples with pure 100-cycle Co or Pt NCs, Pt/Al2O3/100-cycle CoPtx NCs/TiN devices display optimal resistive switching performances no matter in switching parameters’ uniformity or endurance test. Maybe the core−shell structure of fcc and fctCoPtx NCs core with Co3O4 shell will be responsible for it. Table 1 summarizes the resistive switching characteristics of several device units of ALD Al2O3 or HfO2-based nanocomposite structures with or without 60- and 100-cycle CoPtx NCs on TiN-coated Si. It can be found that the excellent endurance and retention performances of the Al2O3/CoPtx NCs and HfO2/CoPtx NCs based nanocomposite films manifest its promising potential for nonvolatile memory application. To clarify the conduction mechanisms of oxide-based devices with embedded CoPtx NCs, the ON-state and OFF-state I−V curves of the Pt/Al2O3/100-cycle CoPtx NCs/TiN/Si in double-logarithmic scale are replotted in Figures 7a and 7b, respectively. By means of piecewise linear fitting of the I−V curves, it is found that at set state (i.e., ON state, Figure 7a) the I−V plot in LRS clearly exhibits an Ohmic conduction behavior with a slope of 1 (slope 1 = 0.994), indicating the formation of conductive filaments in the device during the SET process. Similarly, at reset state (i.e., OFF state, Figure 7b), the slope of I−V plot in LRS is also very close to 1 (slope 3 = 1.064), suggesting the rapture of conductive filaments in the device during the RESET process. Usually the typical I−V characteristics of the trap-controlled space charge limited current (SCLC) consists of three parts: linear relationship between I−V (Ohmic contact), the current proportional to the square of the voltage (I−V2), and the dramatically increased I with the increase of V.51,52 Based on the piecewise linear fitting results and the corresponding slopes, the I−V plots in HRS show similar I−V features. For example, at set state (i.e., ON state, Figure 7a), the I−V curves in HRS display Ohmic behavior with a slope of 1 (region 1: slope 2(1) = 1.062) at applied abs lower voltage 0.82 V (region 3: very large slope). In a word, the fitting results for HRS elucidate that the charge transport behavior during SET process is in good agreement with the classical SCLC mode. Of course, at reset state (i.e., OFF state, Figure 7b), the I−V characteristics in HRS basically follow the trap-controlled SCLC mechanism. Only during the RESET process, the warped tail phenomenon of the I−V curves can be observed in the region marked by the dotted line of Figure 7b. So the dominant conduction mechanisms in LRS and HRS of oxide-based device units with embedded CoPtx NCs are Ohmic behavior and space-charge-limited current (SCLC), respectively. Next we will discuss the role of CoPtx NCs in oxide-based devices. At first, a lot of oxygen vacancies easily appear in the oxide film near the TiN bottom electrode due to the high oxygen affinity of TiN.53 When Pt top electrode is negatively biased, the rich oxygen vacancies will rapidly migrate toward Pt G

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces



HRS status in Al2O3-based samples with 100-cycle CoPtx NCs (Figure S7) (PDF)

AUTHOR INFORMATION

Corresponding Author

*Tel +86-25-8359-4689; e-mail [email protected] (A.-D.L.). ORCID

Lai-Guo Wang: 0000-0002-5063-9713 Notes

The authors declare no competing financial interest.



ACKNOWLEDGMENTS This project is supported by the Natural Science Foundation of China and Jiangsu Province (51571111 and BK2016230), a grant from the State Key Program for Basic Research of China (2015CB921203). Ai-Dong Li also thanks the support of Priority Academic Program Development in the Jiangsu Province.

Figure 8. Hysteresis loop of Al2O3/100 cycle-CoPtx NCs/TiN/SiO2/ Si at room temperature annealed in N2 at 500 °C for 20 s.

characteristics for RRAM due to the ferromagnetic property of CoPtx in multifunctional electronic devices or some special purpose’s applications.



4. CONCLUSION Reliable and uniform RRAM units based on stacking structure of Al2O3 or HfO2/CoPtx NCs on TiN-coated Si have been prepared by TALD and PEALD. The cross-sectional HRTEM image and XPS depth profile of Al2O3/CoPtx NCs on TiNcoated Si confirm the existence of CoPtx NCs coated and covered by the oxide layer. The memory units of Pt/Al2O3 or HfO2/100 cycle-CoPtx NCs/TiN/Si exhibit a typical bipolar, more reliable, and reproducible resistive switching behavior than without CoPtx NCs, such as stable resistance ratio (≥100) of OFF/ON states, uniformity and narrow distribution of set and reset voltages, better switching endurance up to 104 cycles, and longer data retention over 10 years. The possible switching mechanism of the structure of oxide/CoPtx NCs has been proposed. The LRS state is mainly the Ohmic emission mechanism, and the HRS state is dominated by trap-controlled SCLC mechanism. The insertion of CoPtx NCs can effectively improve the formation of conducting filaments due to the CoPtx NC-enhanced electric field intensity. Simultaneously, the Al2O3/100 cycle-CoPtx NCs/TiN/SiO2/Si at room temperature also exhibits hysteresis loop at room temperature. ALDderived Al2O3 or HfO2-based CoPtx NCs fabricated on TiNcoated Si by may be a potential candidate for RRAM and ferromagnetic devices. This processing of combining PEALD and TALD is compatible with state-of-the-art Si-based chip technology.



REFERENCES

(1) Fujisaki, Y. Current Status of Nonvolatile Semiconductor Memory Technology. Jpn. J. Appl. Phys, Part 1 2010, 49, 100001. (2) Do, Y. H.; Kwak, J. S.; Hong, J. P.; Jung, K.; Im, H. Al electrode dependent transition to bipolar resistive switching characteristics in pure TiO2 films. J. Appl. Phys. 2008, 104, 114512. (3) Seo, S.; Lee, M. J.; Seo, D. H.; Jeoung, E. J.; Suh, D. S.; Joung, Y. S.; Yoo, I. K.; Hwang, I. R.; Kim, S. H.; Byun, I. S.; Kim, J. S.; Choi, J. S.; Park, B. H. Reproducible resistance switching in polycrystalline NiO films. Appl. Phys. Lett. 2004, 85, 5655−5657. (4) Fujimoto, M.; Koyama, H.; Konagai, M.; Hosoi, Y.; Ishihara, K.; Ohnishi, S.; Awaya, N. TiO2 anatase nanolayer on TiN thin film exhibiting high-speed bipolar resistive switching. Appl. Phys. Lett. 2006, 89, 223509. (5) Fujimoto, M.; Koyama, H.; Hosoi, Y.; Ishihara, K.; Kobayashi, S. High-Speed Resistive Switching of TiO2/TiN Nano-Crystalline Thin Film. Jpn. J. Appl. Phys. 2006, 45, 310−312. (6) Lee, D.; Choi, H.; Sim, H.; Choi, D.; Hwang, H.; Lee, M. J.; Seo, S. A.; Yoo, I. K. Resistance Switching of the Nonstoichiometric Zirconium Oxide for Nonvolatile Memory Applications. IEEE Electron Device Lett. 2005, 26, 719−721. (7) Chen, Y. S.; Lee, H. Y.; Chen, P. S.; Tsai, C. H.; Gu, P. Y.; Wu, T. Y.; Tsai, K. H.; Sheu, S. S.; Lin, W. P.; Lin, C. H.; Chiu, P. F.; Chen, W. S.; Chen, F. T.; Lien, C.; Tsai, M. J. Challenges and Opportunities for HfOx Based Resistive Random Access Memory. IEEE Electron Device Meeting: 5−7 Dec. 2011 Washington DC 2011, 31, 31.3.1− 31.3.4. (8) Walczyk, C.; Wenger, C.; Sohal, R.; Lukosius, M.; Fox, A.; Dąbrowski, J.; Wolansky, D.; Tillack, B.; Müssig, H. J.; Schroeder, T. Pulse-induced low-power resistive switching in HfO2 metal-insulatormetal diodes for nonvolatile memory applications. J. Appl. Phys. 2009, 105, 114103. (9) Xu, N.; Liu, L. F.; Sun, X.; Chen, C.; Wang, Y.; Han, D. D.; Liu, X. Y.; Han, R. Q.; Kang, J. F.; Yu, B. Bipolar switching behavior in TiN/ZnO/Pt resistive nonvolatile memory with fast switching and long retention. Semicond. Sci. Technol. 2008, 23, 075019. (10) Zhuang, W. W.; Pan, W.; Ulrich, B. D.; Lee, J. J.; Stecker, L.; Burmaster, A.; Evans, D. R.; et al. Novel Colossal Magnetoresistive Thin Film Nonvolatile Resistance Random Access Memory (RRAM). IEEE Electron Device Lett. 2002, 23, 193−196. (11) Fujimoto, M.; Koyama, H.; Kobayashi, S.; Tamai, Y.; Awaya, N.; Nishi, Y.; Suzuki, T. Resistivity and resistive switching properties of Pr 0.7Ca0.3MnO3thin films. Appl. Phys. Lett. 2006, 89, 243504. (12) Park, G. S.; Li, X. S.; Kim, D. C.; Jung, R. J.; Lee, M. J.; Seo, S. Observation of electric-field induced Ni filament channels in polycrystalline NiOx film. Appl. Phys. Lett. 2007, 91, 222103.

ASSOCIATED CONTENT

S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsami.6b16098. PEALD and TALD processing parameters for fabrication several RRAM devices, additional table (Table S1), XRD pattern of thicker CoPtx thin films on Si with 1000 ALD cycles annealed in N2 at 500 °C for 20 s, additional figures (Figure S1), the resistive switching behavior of control sample, Pt/Al2O3/60-cycle CoPtx NCs/TiN/Si, Pt/Al2O3/100-cycle Co NCs/TiN/Si, and Pt/Al2O3/ 100-cycle Pt NCs/TiN/Si, including distribution of set and reset voltages, I−V curves, and endurance characteristics, additional figures (Figures S2−S6), the chemical states of Al 2p and O 1s XPS spectra for both LRS and H

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces (13) Pan, F.; Gao, S.; Chen, C.; Song, C.; Zeng, F. Recent progress in resistive random access memories: Materials, switching mechanisms and performance. Mater. Sci. Eng., R 2014, 83, 1−59. (14) Yoon, J.; Choi, H.; Lee, D.; Park, J. B.; Lee, J.; Seong, D. J.; Ju, Y.; Chang, M.; Jung, S.; Hwang, H. Excellent Switching Uniformity of Cu-Doped MoOx/GdOx Bilayer for Nonvolatile Memory Applications. IEEE Electron Device Lett. 2009, 30, 457−459. (15) Liu, Q.; Guan, W.; Long, S.; Liu, M.; Zhang, S.; Wang, Q.; Chen, J. Resistance switching of Au-implanted-ZrO2 film for nonvolatile memory application. J. Appl. Phys. 2008, 104, 114514. (16) Lin, M. H.; Wu, M. C.; Huang, C. Y.; Lin, C. H.; Tseng, T. Y. High-speed and localized resistive switching characteristics of doublelayer SrZrO3 memory devices. J. Phys. D: Appl. Phys. 2010, 43, 295404. (17) Cheng, C. H.; Chin, A.; Yeh, F. S. Ultralow-Power Ni/GeO/ STO/TaN Resistive Switching Memory. IEEE Electron Device Lett. 2010, 31, 1020−1022. (18) Terai, M.; Sakotsubo, Y.; Kotsuji, S.; Hada, H. Resistance Controllability of Ta2O5/TiO2 Stack ReRAM for Low-Voltage and Multilevel Operation. IEEE Electron Device Lett. 2010, 31, 204−206. (19) Fang, R. C.; Sun, Q. Q.; Zhou, P.; Yang, W.; Wang, P. F.; Zhang, D. W. High-performance bilayer flexible resistive random access memory based on low-temperature thermal atomic layer deposition. Nanoscale Res. Lett. 2013, 8, 92. (20) Kim, I.; Koo, J.; Lee, J.; Jeon, H. A Comparison of Al2O3/HfO2 and Al2O3/ZrO2 Bilayers Deposited by the Atomic Layer Deposition Method for Potential Gate Dielectric Applications. Jpn. J. Appl. Phys. 2006, 45, 919−925. (21) Uenuma, M.; Zheng, B.; Kawano, K.; Horita, M.; Ishikawa, Y.; Yamashita, I.; Uraoka, Y. Guided filament formation in NiO-resistive random access memory by embedding gold nanoparticles. Appl. Phys. Lett. 2012, 100, 083105. (22) Hu, C. W.; Chang, T. C.; Tu, C. H.; Shueh, P. K.; Lin, C. C.; Sze, S. M.; Tseng, T. Y.; Chen, M. C. Cobalt nanodots formed by annealing the CoSiO layer for the application of the nonvolatile memory. Appl. Phys. Lett. 2009, 94, 102106. (23) Lee, D. Y.; Tseng, T. Y. Forming-free resistive switching behaviors in Cr-embedded Ga2O3 thin film memories. J. Appl. Phys. 2011, 110, 114117. (24) Chen, L.; Gou, H. Y.; Sun, Q. Q.; Zhou, P.; Lu, H. L.; Wang, P. F.; Ding, S. J.; Zhang, D. Enhancement of Resistive Switching Characteristics in Al2O3-Based RRAM With Embedded Ruthenium Nanocrystals. IEEE Electron Device Lett. 2011, 32, 794−796. (25) Liu, Q.; Long, S.; Wang, W.; Zuo, Q.; Zhang, S.; Chen, J.; Liu, M. Improvement of Resistive Switching Properties in ZrO2-Based ReRAM With Implanted Ti Ions. IEEE Electron Device Lett. 2009, 30, 1335−1337. (26) Yang, Y. C.; Pan, F.; Liu, Q.; Liu, M.; Zeng, F. Fully RoomTemperature-Fabricated Nonvolatile Resistive Memory for Ultrafast and High-Density Memory Application. Nano Lett. 2009, 9, 1636− 1643. (27) Liu, Q.; Long, S.; Lv, H.; Wang, W.; Niu, J.; Huo, Z.; Chen, J.; Liu, M. Controllable Growth of Nanoscale Conductive Filaments in Solid-Electrolyte-Based ReRAM by Using a Metal Nanocrystal Covered Bottom Electrode. ACS Nano 2010, 4, 6162−6168. (28) Liu, Q.; Long, S.; Wang, W.; Tanachutiwat, S.; Li, Y.; Wang, Q.; Zhang, M.; Huo, Z.; Chen, J.; Liu, M. Low-Power and Highly Uniform Switching in ZrO2-Based ReRAM With a Cu Nanocrystal Insertion Layer. IEEE Electron Device Lett. 2010, 31, 1299−1301. (29) Son, J. Y.; Kim, D. Y.; Kim, H.; Maeng, W. J.; Shin, Y. S.; Shin, Y. H. A HfO2 Thin Film Resistive Switch Based on Conducting Atomic Force Microscopy. Electrochem. Solid-State Lett. 2011, 14, H311−H313. (30) Lee, D. Y.; Yao, I. C.; Tseng, T. Y. Bottom Electrode Modification of ZrO2 Resistive Switching Memory Device with Au Nanodots. Jpn. J. Appl. Phys. 2012, 51, 02BJ04. (31) Bousoulas, P.; Stathopoulos, S.; Tsialoukis, D.; Tsoukalas, D. Low-Power and Highly Uniform 3-b Multilevel Switching in Forming Free TiO2‑x-Based RRAM with Embedded Pt Nanocrystals. IEEE Electron Device Lett. 2016, 37, 874−877.

(32) Kim, W. H.; Park, C. S.; Son, J. Y. Nanoscale resistive switching memory device composed of NiO nanodot and graphene nanoribbon nanogap electrodes. Carbon 2014, 79, 388−392. (33) Cheng, C. H.; Chen, P. C.; Wu, Y. H.; Yeh, F. S.; Chin, A. LongEndurance Nanocrystal TiO2 Resistive Memory Using a TaON Buffer Layer. IEEE Electron Device Lett. 2011, 32, 1749−1751. (34) Ma, L. P.; Liu, J.; Yang, Y. Organic electrical bistable devices and rewritable memory cells. Appl. Phys. Lett. 2002, 80 (16), 2997. (35) Jung, J. H.; Kim, J.-H.; Kim, T. W.; Song, M. S.; Kim, Y.-H.; Jin, S. Nonvolatile organic bistable devices fabricated utilizing Cu2O nanocrystals embedded in a polyimide layer. Appl. Phys. Lett. 2006, 89, 122110. (36) Panda, D.; Huang, C. Y.; Tseng, T. Y. Resistive switching characteristics of nickel silicide layer embedded HfO2 film. Appl. Phys. Lett. 2012, 100, 112901. (37) Ju, Y. C.; Kim, S.; Seong, T. G.; Nahm, S.; Chung, H.; Hong, K.; Kim, W. Resistance random access memory based on a thin film of CdS nanocrystals prepared via colloidal synthesis. Small 2012, 8, 2849−2855. (38) Puurunen, R. L. Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. J. Appl. Phys. 2005, 97, 121301. (39) Sherman, A. Atomic Layer Deposition For Nanotechnology: An Enabling Process for Nanotechnology Fabrication; Ivoryton Press: New York, 2008. (40) Semiconductor Industry Association. The international technology roadmap for semiconductors; http://www.itrs2.net/itrsreport.html. (41) Sun, S. Recent Advances in Chemical Synthesis, Self-Assembly, and Applications of FePt Nanoparticles. Adv. Mater. 2006, 18, 393− 403. (42) Hao, R.; Xing, R.; Xu, Z.; Hou, Y.; Gao, S.; Sun, S. Synthesis, functionalization, and biomedical applications of multifunctional magnetic nanoparticles. Adv. Mater. 2010, 22, 2729−2742. (43) Wang, L. G.; Qian, X.; Cao, Y. Q.; Cao, Z. Y.; Fang, G. Y.; Li, A. D.; Wu, D. Excellent resistive switching properties of atomic layerdeposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications. Nanoscale Res. Lett. 2015, 10, 1−8. (44) Stoch, J.; Capecki, A. Decomposition of 2p3/2 bands in XPS spectra of Fe, Co and Ni compounds. Surf. Interface Anal. 1990, 15, 206−210. (45) Wagner, C. D.; Riggs, W. M.; Davis, L. E.; Moulder, J. F.; Muilenberg, G. E. Handbook of X-ray Photoelectron Spectroscopy, 2nd ed.; Physical Electronics: Eden Prairie, MN, 1995; p 78. (46) Schneider, W. D.; Laubschat, C. Actinide-noble-metal systems: An x-ray-photoelectron-spectroscopy study of thorium-platinum, uranium-platinum, and uranium-gold intermetallics. Phys. Rev. B: Condens. Matter Mater. Phys. 1981, 23, 997−1005. (47) Liu, X. J.; Gao, M. Y.; Li, A. D.; Cao, Y. Q.; Li, X. F.; Guo, B. L.; Cao, Z. Y.; Wu, D. Monolayer FePt nanocrystal self-assembly embedded into atomic-layer-deposited Al2O3 films for nonvolatile memory applications. J. Alloys Compd. 2014, 588, 103−107. (48) Sun, J. Y.; Lee, K. H.; Skarp, J.; Kim, H. R.; Nam, K. S. Dependence of atomic layer-deposited Al2O3 films characteristics on growth temperature and Al precursors of Al(CH3)3 and AlCl3. J. Vac. Sci. Technol., A 1997, 15, 2993−2997. (49) Dupin, J. C.; Gonbeau, D.; Vinatier, P.; Levasseur, A. Systematic XPS studies of metal oxides, hydroxides and peroxides. Phys. Chem. Chem. Phys. 2000, 2, 1319−1324. (50) Chang, Y. F.; Fowler, B.; Zhou, F.; Chen, Y. C.; Lee, J. C. Study of self-compliance behaviors and internal filament characteristics in intrinsic SiOx-based resistive switching memory. Appl. Phys. Lett. 2016, 108, 033504. (51) Lampert, M.; Mark, P. Current injection in solids. In Electrical Science; Academic: New York, 1970; Chapter 1, p 558. (52) Liu, Q.; Guan, W.; Long, S.; Jia, R.; Liu, M.; Chen, J. Resistive switching memory effect of ZrO2 films with Zr+ implanted. Appl. Phys. Lett. 2008, 92, 012117. I

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX

Research Article

ACS Applied Materials & Interfaces (53) Mändl, M.; Hoffmann, H.; Kücher, P. Diffusion barrier properties of Ti/TiN investigated by transmission electron microscopy. J. Appl. Phys. 1990, 68, 2127−2132. (54) Guo, X.; Schindler, C.; Menzel, S.; Waser, R. Understanding the switching-off mechanism in Ag+ migration based resistively switching model systems. Appl. Phys. Lett. 2007, 91, 133513. (55) Liu, Q.; Dou, C.; Wang, Y.; Long, S.; Wang, W.; Liu, M.; Zhang, M.; Chen, J. Formation of multiple conductive filaments in the Cu/ ZrO2:Cu/Pt device. Appl. Phys. Lett. 2009, 95, 023501.

J

DOI: 10.1021/acsami.6b16098 ACS Appl. Mater. Interfaces XXXX, XXX, XXX−XXX