Catalyzed Atomic Layer Deposition of Silicon Oxide at Ultra-low

situ process monitoring shows that the calculated atomic charge is a good indicator for ... significantly lower than those by the pyridine-catalyzed p...
0 downloads 3 Views 4MB Size
Subscriber access provided by Kaohsiung Medical University

Interfaces: Adsorption, Reactions, Films, Forces, Measurement Techniques, Charge Transfer, Electrochemistry, Electrocatalysis, Energy Production and Storage

Catalyzed Atomic Layer Deposition of Silicon Oxide at Ultra-low Temperature Using Alkylamine Tirta Rona Mayangsari, Jae-Min Park, Luchana Lamierza Yusup, Jiyeon Gu, Jin-Hyuk Yoo, Heon-Do Kim, and Won-Jun Lee Langmuir, Just Accepted Manuscript • DOI: 10.1021/acs.langmuir.8b00147 • Publication Date (Web): 16 May 2018 Downloaded from http://pubs.acs.org on May 17, 2018

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Catalyzed Atomic Layer Deposition of Silicon Oxide at Ultra-low Temperature Using Alkylamine Tirta R. Mayangsari‡, Jae-Min Park‡, Luchana L. Yusup, Jiyeon Gu, Jin-Hyuk Yoo1, Heon-Do Kim1, and Won-Jun Lee* Department of Nanotechnology and Advanced Material Engineering, Sejong University, Seoul, 05006, Republic of Korea. 1

R&D Division, Jusung Engineering, Gwangju, Gyeonggi-do, 12773, Republic of Korea

Abstract We report the catalyzed atomic layer deposition (ALD) of silicon oxide using Si2Cl6, H2O, and various alkylamines. The density functional theory (DFT) calculations using periodic slab model of the SiO2 surface were performed for the selection of alternative Lewis-base catalysts with high catalytic activities. During the first half-reaction, the catalysts with less steric hindrance such as pyridine would be more effective than bulky alkylamines despite lower nucleophilicity. On the other hand, during the second half-reaction, the catalysts with a high nucleophilicity such as triethylamine (Et3N) would be more efficient because the steric hindrance is less critical. The in situ process monitoring shows that the calculated atomic charge is a good indicator for expecting the catalyst activity in the ALD reaction. The use of Et3N in second half-reaction was essential to improve the growth rate as well as the step coverage of the film because the Et3N-catalyzed process deposited a SiO2 film with a step coverage of 98 % that is better than 93% of the 1 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

pyridine-catalyzed process. The adsorption of pyridine, ammonia (NH3), or trimethylamine (Me3N) salts was more favorable than Et3N, n-Pr3N, or iPr3N salts. Therefore, Et3N was expected to incorporate less amine salts in the film as compared with pyridine, and the compositional analyses confirmed that the concentrations of Cl and N by the Et3N-catalyzed process were significantly lower than those by the pyridine-catalyzed process.

Keywords: ultra-low temperature ALD, silicon oxide, catalyst, density functional theory (DFT), in situ FTIR.

2 / 34 ACS Paragon Plus Environment

Page 2 of 49

Page 3 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Introduction Recently, multiple patterning technologies have been adopted to reduce the feature size of semiconductor devices beyond the limit of the ArF immersion lithography technology.1–8 Among them, spacer-type self-aligned double patterning (SADP) technique is favorite, because it can double the pattern density by single exposure.6–8 In the SADP process, a dielectric thin film is deposited on a photoresist or hardmask pattern, followed by the etch-back of the dielectric film and the removal of the photoresist or hardmask pattern, to form sidewall spacers. The dielectric spacers become the hard mask for the etching of underlayer films.9,10 The patterns with a quadruple density can be achieved by self-aligned quadruple patterning (SAQP) technique.11,12 The SAQP process consists of two cycles of the SADP process. A dielectric thin film for SADP or SAQP process should have excellent thickness control and excellent step coverage. Atomic layer deposition (ALD) process at ultralow temperatures lower than 100°C is suitable for this technology because it can avoid the thermal damage of the underlying photoresist pattern. Catalyzed ALD process13 and plasma-enhanced ALD (PEALD)14–17 have been used to lower deposition temperature down to near room temperature. PEALD of SiO2 has been reported to have reasonably good film properties at temperatures as low as 100°C using H2Si[N(C2H5)2]2 (bis(diethylamino)silane, BDEAS) combined with O2 plasma.18 The process was shown to have excellent conformality (95-100%) with a relatively short plasma exposure time of ~4.5 s, but the film has a high interface defect density caused by the bombardment of high-energy plasma species.19 Also, O2 plasma ashes underlying photoresist (PR) or amorphous carbon hardmask (Figure S1). SiO2 films have been successfully deposited using SiCl4 and H2O with a Lewis-base catalyst at room temperature. Pyridine (C5H5N) 19,20 and NH3 21,22 were used as the catalyst, and pyridine 3 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

showed superior results. By adding pyridine catalyst to both precursor and H2O pulses, the process temperature could be lowered from 327°C to 27°C, and the precursor exposures could also be decreased from 109 to ~104 Langmuir (L, 106 Torr·s).19,23 The enhancement of ALD reaction by the catalyst was explained by the formation of hydrogen bonds between the catalyst and the surface or the reactant. Since the ALD process is the repeated alternating adsorptions of precursor or reactant, the deposition reaction can be activated by the enhanced adsorption reactions. The N atom of the catalyst interacts with a hydroxyl group of the surface during the first half-reaction, and interacts with an H atom of the H2O molecule during the second halfreaction, to enhance the reaction rate.24–27 The most common silicon precursor for the catalyzed ALD of SiO2 in the semiconductor industry is Si2Cl6 instead of SiCl4, because Si2Cl6 possesses a higher reactivity than SiCl4, resulting in higher productivity.28 One of the issues in this process is the salts generated by the reaction between pyridine and HCl, the ALD reaction byproduct.29,30 This problem could be resolved by using an alternative catalyst that not only has low salt incorporation but also enhances deposition reaction. However, the catalyzed ALD process using other catalysts has not been reported so far. Only methylamines such as MeNH2, Me2NH, and Me3N, were considered as candidate materials for catalyst and were studied by density functional theory (DFT) calculation using the cluster models of (H3SiO)3SiOH and ((HO)3SiO)3SiOH.31,32 However, other alkylamines were not considered, and the thin film deposition was not demonstrated. Also, the cluster model can shorten computation time, but tends to limit the interaction between the adsorbate and the surface to one or a few adsorptive sites, and does not adequately account for the steric hindrance effect depending on cluster size.33–36

4 / 34 ACS Paragon Plus Environment

Page 4 of 49

Page 5 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

In the present study, we investigated various alkylamine compounds as the catalyst by simulation and compared the simulation results with experimental results of the ALD SiO2 using selected catalysts. The DFT calculations using periodic slab model of the SiO2 surface were performed for the selection of alternative Lewis-base catalysts. We compared the interactions between the tertiary alkylamines and the hydroxyl surface group or H2O molecule and demonstrated the catalyzed ALD process using the selected catalyst. We also calculated the interaction between the amine salt byproducts and the SiO2 surface and investigated the incorporation of Cl impurities. Triethylamine [N(C2H5)3, Et3N] showed strong interaction with H2O during the second half-reaction, and its salt (Et3NHCl, triethylammonium) showed weak physisorption on the surface, as compared with the conventional catalyst, pyridine.

Calculation details We considered amine compounds with different alkyl ligands as the Lewis-base catalyst for catalyzed ALD SiO2 process. The selection of catalyst for the DFT calculation includes NH3, (CH3)3N (Me3N), (C2H5)3N (Et3N), (C3H7)3N (n-Pr3N), and [(CH3)2CH]3N (iPr3N). C5H5N (pyridine) was also considered as the catalyst of reference. For a comparison of the activity of the catalyst, the process was modeled and simulated when Si2Cl6 or H2O was adsorbed on the SiO2 surface simultaneously with a catalyst molecule. The model for the first half-reaction consists of a catalyst molecule, a Si2Cl6 molecule, and an OH-terminated SiO2 surface, while the model for the second half-reaction consists of a catalyst molecule, an H2O molecule, and a Clterminated SiO2 surface. Another model was also constructed consisting of an amine salt and an OH-terminated SiO2 surface to simulate the interaction between the ALD reaction byproduct and the SiO2 surface. For the surface model of the first half-reaction, we used the SiO2 (001)-2 × 2 surface supercell consisting of 27 silicon atoms and 72 oxygen atoms that formed three layers of 5 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

SiO2. In the first half-reaction study, 36 hydrogen atoms were added to the surface terminal to create hydroxyl groups. On the same surface model, the 18 hydroxyl groups were replaced by 18 chlorine atoms to create a Cl-terminated surface for the second half-reaction study. A 20 Å-thick vacuum slab was built between adjacent slabs for all of the substrates. The atomic charges and the bond lengths of Si2Cl6 were calculated with different catalyst on an OH-terminated SiO2 surface, and the atomic charges and the bond lengths of H2O were calculated on a Cl-terminated SiO2 surface. Atomic charges were obtained by population analysis of Mulliken charges, which was used to predict the thermal stability of the ALD precursors and showed good agreement with the experimental observations.37 The adsorption energies of different amine salts were calculated on an OH-terminated SiO2 surface. DFT calculation was conducted using Material Studio 7.0 with the Dmol3 package (Accelrys BIOVIA, USA). Generalized gradient approximation (GGA) with Perdew-Burke-Ernzerhof (PBE) functionals38 and the double numerical polarization (DNP) basis set were used. Dispersion force correction based on Grimme method39 was considered in all calculations. Geometry optimizations were performed for all the structures with convergence tolerance of total energy differences of 10-6 Ha (Hartree, 27.21 eV) and atomic force smaller than 2 × 10-4 Ha/Å. Orbital occupancy was calculated using smearing value of 9 × 10-4 Ha.40

Experimental methods The catalyzed ALD process was investigated in a thermal ALD reactor (M100, iSAC Research, Korea) using an in situ transmission-mode FTIR spectrometer (Nicolet 6700 with MCT/B infrared detector). The chamber walls were maintained at 90°C to avoid the condensation of the precursor, reactant, and catalysts. A KBr pellet was used as the substrate for in situ FTIR analysis and was maintained at 60°C. Before the deposition process, the substrate was baked at 6 / 34 ACS Paragon Plus Environment

Page 6 of 49

Page 7 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

100°C for 1 hr under base pressure to remove outgassing from the KBr pallet, and then the FTIR spectrum of the substrate was taken to be used as the background for subsequent FTIR measurements. After each half-reaction, the FTIR absorbance spectrum was measured after evacuation to below 1 mTorr. The spectrum of the previous half-reaction was used as the background to investigate the present half-reaction in Figure 9, while the spectrum of the substrate before the ALD cycles was used as the background to investigate film growth in Figure 10. The FTIR area absorbance has been used to study the saturation dose of the precursor and reactant in the ALD process. Burton and coauthors’ work on ALD of SiO2 is an example.41 The FTIR absorbance spectra were obtained with an average of 200 scans and a resolution of 8 cm-1. Si2Cl6 and D2O were used as the silicon precursor and the reactant, respectively. The catalyst was co-dosed with Si2Cl6 or D2O during the first or the second half-reaction, respectively. The exposure of Si2Cl6 and D2O was fixed at ~3 × 107 L and the exposure of catalyst was ~2 × 107 L. The growth rate of the catalyzed process investigated by in situ QCM system (SQM-160TM, Inficon, USA). AT-type quartz crystal (SC-101, Maxtek, USA) was used and mounted on a bakeable single sensor feed-through kit (BSH-150, Inficon, USA). The Si2Cl6 (~2.4 × 107 L) was co-dosed with a catalyst (~6 × 106 L) during the first half-reaction, while the H2O (~2.4 × 107 L) was co-dosed with a catalyst (~6 × 106 L) during the second half-reaction. The chamber was pumped and purged by Ar after each half-reaction. Details of the QCM measurements are reported elsewhere.42 Chlorine concentration was obtained by secondary ion mass spectrometry (IMS 7f, Cameca, France) using a Cs+ primary ion beam, rastered over an area of 250 × 250 um2. The film thickness and step coverage were examined by cross-sectional transmission electron microscopy (TEM) (JEM-2100F HR, JEOL, Japan). Dry etching rates of the ALD SiO2 films were measured to evaluate the film quality. The thickness of the SiO2 film was measured after

7 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

reactive ion etching with varying etching time. The etching gas was a mixture of 40 sccm of CF4 and 10 sccm of O2, the process pressure was 50 mTorr, and the RF power was 100 W.

Results and discussion Selection of catalyst We selected the Lewis-base amine as a catalyst from basicity represented by the pKa value, where the pKa of pyridine (5.21) was set as the baseline. Alkylamines are strong Lewis-bases with average pKa value above 9.5 because the high electron density of the alkyl groups will localize the nitrogen electron lone pair, therefore, increase the basicity of the amines.43 Meanwhile, the aromatic amines will tend to delocalize the electron lone pair in the nitrogen into the ring conjugation hence can lower the basicity down to pKa value of 2.49 for pyrazole.43 Therefore, we chose alkylamine compounds with different alkyl degree for the preliminary study. The alkylamine molecules were selected by limiting the number of carbon in the alkyl ligand up to three and by changing alkyl degree from primary to tertiary. The hydrogen interaction of the catalyst molecule with an H2O molecule in the gas phase was simulated and compared with each other, as shown in Figure 1. Here we compared the O–H bond lengths of the H2O molecules as the result of their interaction with different catalyst molecules. The O–H bond lengths increase with increasing the number of carbon atoms in the alkyl group or with increasing the number of alkyl groups. The trend can be explained by the electron-donating nature of the alkyl groups, which affect the electronegativity of the N atom in the catalyst molecule. With increasing the number of carbon in the alkyl group, the electron-donating effect increases, hence the electronegativity of N atom also increases.44–46 However, the tertiary isopropyl ligand does not follow the trend due to its bulky structure with the confined nitrogen atom, resulting in a weaker 8 / 34 ACS Paragon Plus Environment

Page 8 of 49

Page 9 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

hydrogen interaction than the Et3N case. We chose the tertiary alkylamine series to study the effect of electron donating property as well as the catalyst structure to both the catalytic activity and amine salts byproducts incorporation.

Figure 1. The O–H bond length of H2O molecule after hydrogen interaction with different alkylamines.

Modeling of catalytic activity First, we calculated the hydrogen interactions between the catalyst and the OH-terminated SiO2 surface in the presence of a Si2Cl6 molecule to simulate the first half-reaction. The optimized geometry of Figure 2(a) confirms the hydrogen interaction between the N atom of 9 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

pyridine and the H atom of the hydroxyl surface group. The distance between the two atoms is 1.628 Å. This interaction induces a series of hydrogen interactions between the hydroxyl groups on the surface (blue circle). Figure 2(b) shows the electronic configuration of the system using the isosurface of the Fukui field electrophilicity. The higher nucleophilic hydroxyl groups indicated in blue are expected to react well with the silicon atoms of Si2Cl6 indicated in red. Since many hydroxyl groups are displayed in blue, the hydrogen interaction between pyridine and the surface increases the activity of multiple hydroxyl groups. Thus, a silicon precursor can react with a hydroxyl group other than the hydroxyl group closest to pyridine (black circle), and the interaction of pyridine with the silicon precursor is not straightforward. However, in other studies, only a model in which the hydroxyl group bonded to pyridine reacts with the silicon precursor was proposed30 and then simulated.31,32 Figure 3 shows the optimized geometry and electrophilicity of Et3N catalyst. The bulky structure of Et3N is shown to cover a larger area of the surface, which could hinder the access of Si2Cl6 to some of the reactive hydroxyl groups. Similar phenomena were obtained for other bulky structured catalysts (Figures. S2 – S5).

Figure 2. (a) The optimized geometry and (b) the isosurface of electrophilicity of a Si2Cl6 molecule on the OH-terminated SiO2 surface with pyridine. Red color indicates the electrophile tendency and blue color indicates the nucleophile tendency. 10 / 34 ACS Paragon Plus Environment

Page 10 of 49

Page 11 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 3. (a) The optimized geometry and (b) the isosurface of electrophilicity of a Si2Cl6 molecule on the OH-terminated SiO2 surface with Et3N. Red color indicates the electrophile tendency and blue color indicates the nucleophile tendency.

In general, adsorption studies use the calculation of system energy to predict if the adsorption is thermodynamically spontaneous. In a catalyzed ALD process, the system energy is determined by three kinds of interactions between catalyst and surface, between precursor and surface and between catalyst and precursor. Thus, each of the interaction energy is difficult to distinguish from each other, and it is difficult to compare the activation of reactions by various catalysts, which is determined only by the interaction between precursor and surface. If three kinds of interactions are independent of each other, then the adsorption energy of Si2Cl6 in the presence of a catalyst can be determined by subtracting the adsorption energy of catalyst and the interaction energy between Si2Cl6 and catalyst from the total energy of the system composed of Si2Cl6, catalyst, and the surface.

11 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The energy that the catalyst and the precursor adsorb together on the OH-terminal SiO2 surface is shown in Figure 4(a). Figure 4(b) and 4(c) show the adsorption energy of the catalyst on the OH-terminated surface and the interaction energy between the catalyst and the precursor. Under the rough assumption that the interactions are independent, Figure 4(d) was obtained by subtracting the adsorption energy of the catalyst (Figure 4(b)) and the interaction energy between Si2Cl6 and the catalyst (Figure 4(c)) from the total energy of the system composed of Si2Cl6, catalyst, and surface (Figure 4(a)). Comparing Figure 4(a), (b) and (c), the total system energy of Figure 4(a) is dominated by the interaction between the catalyst and the surface of Figure 4(b) that is not directly related to the ALD reaction. Also, the estimation of adsorption energy of Si2Cl6 in Figure 4(d) shows that the lowest adsorption energy of -1.280 eV was obtained without a catalyst, higher energy of 0.020 eV or -0.345 eV were obtained with pyridine or NH3, respectively. The adsorption energy trend in Figure 4(a) or Figure 4(d) does not match the experimental results that pyridine or NH3 catalyzes the reaction and pyridine is a better catalyst than NH3.19–22 Regarding the precursor-catalyst interaction, we only can calculate the energy of the gas-phase interaction between precursor and catalyst, which is entirely different from the interaction on the surface. Regarding the surface-catalyst interaction, we calculated the adsorption energy of a catalyst molecule in the absence of precursor molecules as shown in Figure 4(b), but the surface-catalyst interaction is inevitably affected by the presence of precursor molecules. A cluster model calculation on the catalyzed ALD process using pyridine or NH3 was reported,32 and the energies for adsorption and reaction were obtained for both the catalyzed and the uncatalyzed ALD process. The catalyzed process showed lower energy for adsorption compared to the uncatalyzed process. NH3 is better than pyridine as a catalyst, which is in agreement with Figure 4(a). The limitation of their study is that the catalyst was always on

12 / 34 ACS Paragon Plus Environment

Page 12 of 49

Page 13 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

top of the precursor and the interaction between the catalyst and the surface was ignored. In contrast, we studied the interaction between the catalyst and the surface using our surface model and found that the catalyst induces a series of hydrogen interactions between the hydroxyl groups on the surface, as shown in Figure 2(a). As a result, the energy of Figure 4(a) is much higher than the adsorption energy of SiCl4 reported in the literature.32

Figure 4. The adsorption energy of Si2Cl6 on the OH-terminated SiO2 surface: (a) the calculated adsorption energy of Si2Cl6 together with catalyst on OH-terminated SiO2 surface, (b) the calculated adsorption energy of catalyst only on OH-terminated SiO2 surface, (c) the calculated energy of interaction between Si2Cl6 and catalyst in the gas phase, and (d) the estimated adsorption energy of Si2Cl6 on OH-terminated SiO2 surface in the 13 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

presence of a catalyst. Energy values in (d) was obtained by subtracting energy values in (b) and (c) from the values in (a) assuming that the interactions among Si2Cl6, catalyst, and the surface are independent.

The adsorption of precursor and reactant is not the only step in the ALD but the first and the most important step in ALD process. Recently, many researchers are working on area-selective ALD, in which a film selectively grows on one type of substrate and does not grow on the other type of substrate.47–49 Even for the same substrate, a different growth rate of the film is obtained if the substrate is passivated by ligands on which the adsorption of the precursor is difficult. The growth rate of the film significantly decreases or becomes zero by adopting the pretreatment or by adding inhibitor pulse prior to precursor pulse.47,48 The ALD process is composed of many steps, and it is hard to expect the full reaction path of ALD. Each half-reaction is composed of many steps, including the adsorption of the precursor or the reactant, the reactions and migrations of adsorbates, and the desorption of byproducts, HCl. The adsorption may not be the only step affected by the presence of the catalyst, but without the adsorption of the precursor, the following steps of ALD pathway would be impossible. If the precursor and the reactant are activated by the catalyst, all chemical reaction steps would be enhanced. Therefore, we think that the atomic charge of precursor and reactant activated by the catalyst is a useful index to screen the catalyst candidates. In this study, we examined the atomic charges and bond lengths in a Si2Cl6 or an H2O molecule in the presence of a catalyst molecule to use them as the indicators to compare the performance of the catalyst. The nucleophilic hydroxyl groups polarize the Si2Cl6 molecule in the first half-reaction, increasing the positive charge of the silicon atom and increasing the length 14 / 34 ACS Paragon Plus Environment

Page 14 of 49

Page 15 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

of the Si–Cl bond. Since multiple hydroxyl groups participate in the first half-reaction as shown in Figure 2(b), we found that the atomic charge or bond length of Si2Cl6 is a more suitable indicator for characterizing the catalyst than the atomic charge or bond length of a particular hydroxyl surface group. From the calculation results for the simultaneous adsorption of catalyst and Si2Cl6, Figure 5 shows the maximum atomic charge of the Si atoms (square) and the maximum length of the Si– Cl bonds (circle) in Si2Cl6. Atomic charge and bond length show similar tendencies although the details are different. Among the six catalysts, pyridine has the highest atomic charge of 0.96 followed by Me3N, Et3N, and NH3 with atomic charges of 0.94. iPr3N and n-Pr3N exhibit atomic charges similar to those without a catalyst. As the number of carbon increases, the electrondonating effect increases, however, the steric effect also increases, so bulky catalysts cause strong steric hindrance in interaction with the surface (Figure 3). Thus, n-Pr3N and iPr3N interact more weakly with the surface than Me3N and Et3N, showing low atomic charge values. Simulation results show that pyridine has the highest catalytic activity in the first half-reaction because it has high nucleophilicity and low steric hindrance. The highest atomic charge of pyridine is followed by Me3N and Et3N catalysts. Pyridine also has the longest Si–Cl bond length of 2.0759 Å followed by Et3N, Me3N, NH3, n-Pr3N, and iPr3N with bond lengths of 2.0745 Å, 2.0714 Å, 2.0696 Å, 2.0669 Å and 2.0619 Å, respectively. The Si–Cl bond length in the system without a catalyst (2.0727 Å) is longer than an NH3 system (2.0696 Å) due to the electrostatic interaction between chlorine atom in Si2Cl6 with the hydrogen atom on the surface (Figure S6). Only pyridine and Et3N showed the increased bond length, indicating that Et3N is promising as the catalyst. Since we used the same conditions for all calculations, we can identify trends in the bond strengths even if there is some difference in the absolute values. Also, red shift or blue shift

15 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

of the H-Cl peak in the FTIR spectrum was reported even when the bond length changes only 0.0003 Å in the result of DFT calculation due to the hydrogen interaction between HCl and “Y” (Y = F, Br, CN, N2, CO, BF).50,51

Figure 5. The maximum charge of a silicon atom (square) and the maximum Si–Cl bond length (circle) in a Si2Cl6 molecule on the OH-terminated SiO2 surface in the presence of a catalyst molecule. The atomic charge of silicon decreases with increasing alkyl ligand size of the catalyst.

Next, we calculated the hydrogen interactions between the catalyst and an H2O molecule on the Cl-terminated SiO2 surface to simulate the second half-reaction. In the second half-reaction, the interaction of the catalyst and the H2O molecule is more direct than the interaction of the catalyst with the OH-terminated surface in the first half-reaction. Figure 6 shows that the N atom of pyridine attracts H atoms from the H2O molecule, thereby increasing the nucleophilicity of the O atoms in the H2O (circle). In this case, the hydrogen interaction is mainly in the gas phase so that the steric effect will be less critical compared to the first half-reaction. Similar geometries were also obtained for the other alkylamine catalyst (Figures S7 – S11)

16 / 34 ACS Paragon Plus Environment

Page 16 of 49

Page 17 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 6. (a) The optimized geometry and (b) the isosurface of electrophilicity of an H2O molecule on the Cl-terminated SiO2 surface with pyridine. The red color indicates the electrophile tendency and the blue color indicates the nucleophile tendency.

Figure 7. (a) The optimized geometry and (b) the isosurface of electrophilicity of an H2O molecule on the Cl-terminated SiO2 surface with Et3N. The red color indicates the electrophile tendency and the blue color indicates the nucleophile tendency.

Figure 8 shows the maximum atomic charge of the O atom (square) and the maximum length of the O–H bonds (circle) in an H2O molecule on the surface. The nucleophilic nitrogen in the catalyst molecule will attract the hydrogen in the H2O molecule closer to the catalyst molecule to create a hydrogen bond and therefore polarize the H2O molecule. The hydrogen bond increases 17 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

the O–H bond length, and the polarization increases the negative charge of the oxygen atom. The more nucleophilic oxygen atom would be more reactive to the silicon atoms on the surface. Therefore the atomic charge or bond length of the H2O molecule is suitable for characterizing the catalyst during the second half-reaction. The interaction of the H2O molecule with Et3N, n-Pr3N, and iPr3N resulting in oxygen atom with the most negative charges of -0.64, -0.65, and -0.64, respectively. The trend is followed by Me3N, NH3, and pyridine with a charge of -0.63, -0.62, and -0.61, respectively (Figure 8 square). This tendency coincides with the effect of the electron-donating nature of the alkyl groups. When the hydrogen of the H2O molecule is attracted by the catalyst, the charge partitioning of the H2O molecule increases and thus the oxygen nucleophilicity also increases. While the trend is correct for NH3, Me3N, Et3N, and n-Pr3N, the steric hindrance from the bulky isopropyl ligands overwhelms the strong interaction between the N atom of iPr3N with the H atom in H2O molecule, resulting in a weaker hydrogen interaction than the Et3N and n-Pr3N cases. The atomic charge shows that all the calculated amine catalysts would have a better catalytic activity than pyridine for the second half-reaction due to its lower nucleophilicity than the alkylamine catalyst, with Et3N, n-Pr3N, or iPr3N and can be expected to give the best catalytic activity. Here we compared the O–H bond length of the H2O molecules as the result of its interaction with catalyst molecule (Figure 8 circle). The longest O–H bond in H2O molecule was obtained with n-Pr3N, followed by iPr3N, Me3N and Et3N, NH3, and pyridine, with the bond lengths of 1.009 Å, 1.006 Å, 1.003 Å, 0.995 Å, and 0.993 Å, respectively.

18 / 34 ACS Paragon Plus Environment

Page 18 of 49

Page 19 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 8. The atomic charge of oxygen (square) and the maximum O–H bond length (circle) of H2O molecule on the Cl-terminated SiO2 surface. The negative atomic charge of oxygen increases with increasing alkyl ligand size.

The magnitude of change in atomic charge of O during the second half-reaction is higher than that of Si during the first half-reaction, because the interaction between H2O and catalyst is direct, while the interaction between Si2Cl6 and catalyst is indirect. The atomic charge of Si atom in Si2Cl6 is changed by the changes of atomic charges of O atoms on OH-terminated SiO2 surface. The maximum change in the atomic charge of O on the SiO2 surface by the presence or absence of pyridine during the first half-reaction is 0.071, which is greater than the change in the Si atomic charge (0.027) and is higher than the change in the O atomic charge during the second half-reaction (0.045). In summary, during the first half-reaction, the catalysts with less steric hindrance such as pyridine would be more effective than bulky alkylamines despite lower nucleophilicity, as shown in Figure 5.

On the other hand, during the second half-reaction, the catalysts with a high nucleophilicity such as Et3N, n-Pr3N, or iPr3N would be more efficient because the steric hindrance is less critical on

19 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

the interaction with H2O molecules, as shown in Figure 8. In Figure 5, Et3N represents the second best atomic charge of silicon behind Me3N, which is much higher than those of the nPr3N and iPr3N cases. In Figure 8, Et3N shows the second best atomic charge of oxygen behind n-Pr3N, which is better than Me3N. Me3N and n-Pr3N are excellent in a half-reaction and not outstanding in the other half-reaction, while Et3N is uniformly superior. We selected Et3N to be compared with pyridine because pyridine is added for both half-reactions in the conventional catalyzed ALD process.

Catalyzed ALD process Figure 9 shows difference spectra of catalyzed process obtained from FTIR measurement that conducted after every half cycle using previous cycle spectra as its background. The difference spectra represent the surface changes caused by the half-reaction. The spectra show that at every Si2Cl6 exposure, a positive absorbance around wavenumber 600~620 cm-1 was generated showing the formation of Si–Cl group on the surface from the reaction between Si2Cl6 and surface.30 This absorbance confirmed the bond formation between Si–Cl groups from the precursor with a hydroxyl group on the surface and produced HCl as the byproduct. In pyridinecatalyzed (Figure 9(a)), the spectra that produced after D2O exposure shows the Si–Cl absorbance at 600~620 cm-1 evolved into a negative band. This negative band indicates that the – Cl groups were removed from the surface by the reaction with D2O and from the peak area we can expect that most or all –Cl groups were removed.

20 / 34 ACS Paragon Plus Environment

Page 20 of 49

Page 21 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 9. FTIR Difference spectra of catalyzed ALD process with (a) pyridine and (b) Et3N. The difference spectrum shows that the half-reactions are based on ligand exchange reactions.

The saturation dose of the first and the second half-reactions were determined by measuring the growth or the decline of the area absorbance of Si–Clx peak. The absorbance area of the Si– Clx peak in FTIR spectra in Figure 10(a) shows that the saturation of the first half-reaction is at the exposure of ~1 × 108 L, which is similar for both the pyridine and the Et3N-catalyzed processes. However, the Et3N-catalyzed process generated less Si–Cl groups on the surface showing that its steric hindrance is more severe than the pyridine-catalyzed process. For the second half-reaction, the Et3N-catalyzed process has a lower saturation dose than the pyridinecatalyzed process. Most of the observed Si–Cl groups on the surface were removed by the exposure to Et3N of ~0.5 × 108 L, while the Si–Cl groups in the pyridine-catalyzed process were not entirely removed by the exposure to pyridine of ~1.5 × 108 L (Figure 10(b)). This result indicates that Et3N produce more reactive H2O molecules than pyridine. The saturation dose of the two half-reactions corresponds to the trend of the silicon atomic charge in Figure 5 and the

21 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

trend of the oxygen atomic charge in Figure 8. Pyridine produces a higher atomic charge of silicon than Et3N, whereas Et3N produces more negative charge of oxygen than pyridine.

Figure 10. Area absorbances of the Si–Clx peak in FTIR spectra as functions of (a) the exposures to the mixture of Si2Cl6 and catalyst and (b) the exposures to the mixture of D2O and catalyst. Pyridine (circle) or Et3N (square) was used as the catalyst. The Et3N-catalyzed process shows lower D2O saturation dose than pyridine-catalyzed process.

The growth rate measurements by QCM in Figure 11 show that when only the first halfreaction was catalyzed, the pyridine-catalyzed process showed a higher growth rate than that of the Et3N-catalyzed process. However, when only the second half-reaction was catalyzed, the Et3N-catalyzed process exhibited a higher growth rate than that of the pyridine-catalyzed process. These results further confirm the DFT calculations and FTIR analyses where pyridine showed higher reactivity during the first half-reaction, while Et3N showed higher reactivity during the second half-reaction. The growth rate when the catalyst was added to H2O during the second half-reaction was higher than the growth rate when the catalyst was added to Si2Cl6 during the first half-reaction. This may be due to the direct interaction of the catalyst with H2O, whereas the interaction between the catalyst and Si2Cl6 is indirect. When pyridine was used in both halfreactions, the growth rate was further increased. When Et3N used in both half-reactions, however, 22 / 34 ACS Paragon Plus Environment

Page 22 of 49

Page 23 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

the growth rate was lower than that of the Et3N-catalyzed process only during the second halfreaction. These results suggest that Et3N acted as an inhibitor rather than a catalyst during the first half-reaction due to the steric hindrance. The maximum growth rate per cycle of the catalyzed ALD of our study, 0.15 nm/cycle, is slightly lower than 0.21 nm/cycle of the catalyzed ALD using SiCl4 in reference.13 We did not calculate or measure the surface coverage of amine molecules on the surface during the catalyzed ALD process, but we estimated it by comparing the fully-saturated growth rates per cycle for amine-catalyzed and uncatalyzed ALD processes. The growth rate of uncatalyzed ALD SiO2 at 453°C saturated at 0.32 nm/cycle for a Si2Cl6 exposure over 1x108 L,28 whereas the maximum growth rate of Et3N-catalyzed ALD of this work is 0.15 nm/cycle, which is almost the half. Therefore, assuming the same number of active sites on the SiO2 surface at different temperatures, the surface coverage of Et3N would be ~50%.

Figure 11. Growth rates of the SiO2 film prepared by different catalyzed steps and using different catalyst molecules. The use of a catalyst during the second half-reaction is more effective in improving the growth rate as compared to that used during the first halfreaction.

23 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The step coverage of the process was studied by the deposition of SiO2 on trench pattern wafer. Figure 12 shows the cross-sectional TEM of the SiO2 film for both pyridine-catalyzed and Et3Ncatalyzed processes. Good conformality of SiO2 on the top, the sidewall and the bottom surface of the substrate was observed with a step coverage of 93% on the pyridine-catalyzed process and 98% on the Et3N-catalyzed process. The second half-reaction enhanced by the Et3N catalyst attributes to the higher step coverage of the Et3N-catalyzed process. Compared to Si–Cl or –OH surface groups and Si2Cl6 molecules, H2O is much less reactive, because the O–H bond in the H2O molecule is strong. According to the DFT calculation of bond dissociation energy (BDE) for Si2Cl6 and H2O, the O–H bond in H2O has BDE value of 7.03 eV whereas Si–Si and Si–Cl bonds in Si2Cl6 have BDE values of 3.52 eV and 4.43 eV, respectively. Therefore, increasing the reactivity of H2O in the second half-reaction is very efficient in improving the reaction rate of the process. In summary, the QCM and TEM analysis shows that the use of Et3N in second halfreaction is essential to improve the growth rate as well as the step coverage of the film.

Figure 12. Cross-sectional TEM photographs of the catalyzed ALD SiO2 films on a trench pattern wafer with different sequences and using different catalysts.

24 / 34 ACS Paragon Plus Environment

Page 24 of 49

Page 25 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Catalyst-HCl salts investigations HCl is the reaction byproduct of the ALD process of this study. It is formed by the reaction between silicon precursor and Si–OH surface group in equation (1) and the reaction between H2O and Si–Cl surface group in equation (2):

–SiOH* + SixCly(g) → –SiOSixCly-1* + HCl(g)

(1)

–SiCl* + H2O(g) → –SiOH* + HCl(g)

(2)

The asterisks in this equation indicate the surface group. The amine salt molecules are the product of the reaction between the amine catalyst and HCl gas by equation (3).29,30

NR3(s) + HCl(g) → [NR3H]+Cl-(s)

(3)

Figure 13 shows the adsorption of amine salts on OH-terminated SiO2 surface. The energies of adsorption on the OH-terminated surface were calculated for different amine salts. It can be seen in Figure 13(a) and (b) that the interaction between the amine salt and the surface is hydrogen interactions. The Cl atom of the salt interacts with the H atoms of the hydroxyl surface groups, and the H atoms of the salt interact with the O atoms of the hydroxyl surface groups. As the carbon number of the amine compound increases, the interaction between the amine salt and the surface is reduced due to steric hindrance. According to the adsorption energy values of amines salts in Figure 13(c), the adsorptions of pyridine, NH3, and Me3N salts were more favorable than Et3N, n-Pr3N, and iPr3N salts. The adsorption energies are -2.84 eV, -2.99 eV, and -2.90 eV for pyridine, NH3, and Me3N, respectively, while Et3N, n-Pr3N, and iPr3N shows -2.34 eV, -2.48 eV, 25 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

and -1.77 eV, respectively. If the interaction between the amine salt and the surface is strong, desorption of the salt will be difficult. Therefore, we expected that weak interaction between salt and surface would be advantageous to inhibit Cl contamination. Et3N is expected to incorporate less amine salt in the film as compared with pyridine.

Figure 13. The optimized geometry of (a) a pyridine-HCl salt molecule and (b) an Et3NHCl salt molecule on the OH-terminated SiO2 surface, and (c) the energy of adsorption for different amine-HCl salts on OH-terminated SiO2 surface. The energy of adsorption increases with the increase of the catalyst’s alkyl ligand size.

The film compositions of the SiO2 films prepared by both the pyridine-catalyzed and the Et3Ncatalyzed ALD processes were analyzed using secondary ion mass spectroscopy (SIMS). Figure 14 shows depth profiles of ALD SiO2 films. As compared with the pyridine-catalyzed process, the concentrations of Cl and N by the Et3N-catalyzed process were significantly lower, suggesting that the incorporation of amine salts can be reduced by replacing the conventional pyridine catalyst with the Et3N catalyst of this work. The FTIR spectrum of the SiO2 film in Figure S13 shows the same result. The SiO2 film prepared by the ALD process catalyzed by the 26 / 34 ACS Paragon Plus Environment

Page 26 of 49

Page 27 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

pyridine or Et3N exhibits almost the same dry etching rate in Figure S14, indicating that the film densities are almost the same.

Figure 14. Depth profile of the SiO2 film prepared by (a) the pyridine-catalyzed ALD process or (b) the Et3N-catalyzed process. The concentrations of Cl and N in the Et3Ncatalyzed SiO2 film are lower than those in the pyridine-catalyzed SiO2 film.

Conclusion We modeled and simulated the catalyzed ALD process using Si2Cl6 as the Si precursor, H2O as the reactant, and various alkylamine compounds as the catalyst. The atomic charges and the bond lengths of Si2Cl6 were calculated with different catalyst on an OH-terminated SiO2 surface, and the atomic charges and the bond lengths of H2O were calculated on a Cl-terminated SiO2 surface. We considered alkylamine molecules with different numbers of carbon atoms and with different alkyl degrees and then compared them with the conventional catalyst, pyridine. During the first half-reaction, the catalysts with less steric hindrance such as pyridine would be more effective than bulky alkylamines despite lower nucleophilicity. On the other hand, during the

27 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

second half-reaction, the catalysts with a high nucleophilicity such as Et3N, n-Pr3N, or iPr3N would be more efficient because the steric hindrance is less critical on the interaction with H2O molecules. Therefore, Et3N was selected as the catalyst to be applied to the ALD process, and the Et3N-catalyzed ALD process was compared with the pyridine-catalyzed process. The in situ FTIR and QCM results show that the calculated atomic charge is a good indicator for expecting the catalyst activity in the ALD reaction, because the generation of Si–Clx groups in the first half-reaction was higher with pyridine catalyst, and the saturation of D2O in the second halfreaction was faster with Et3N catalyst. The QCM and TEM analysis show that the use of Et3N in second half-reaction is essential to improve the growth rate as well as the step coverage of the film because the Et3N-catalyzed process shows a step coverage of 98% better than 93% of the pyridine-catalyzed process. The energies of adsorption on the OH-terminated surface were calculated for different amine-HCl salts. The adsorption of pyridine, NH3, or Me3N salts was more favorable than Et3N, n-Pr3N, or iPr3N salts. Therefore, Et3N was expected to incorporate less amine salts in the film as compared with pyridine, and the FTIR and SIMS analyses confirmed that the concentrations of Cl and N by the Et3N-catalyzed process were significantly lower than those by the pyridine-catalyzed process.

ASSOCIATED CONTENT Supporting Information. This material is available free of charge via the internet at http://pubs.acs.org

AUTHOR INFORMATION Corresponding Author *Corresponding author: [email protected]

28 / 34 ACS Paragon Plus Environment

Page 28 of 49

Page 29 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Author Contributions The manuscript was written through contributions of all authors. All authors have approved the final version of the manuscript. ‡These authors contributed equally

Notes The authors declare no competing financial interest.

Acknowledgment This work was supported by the Technology Innovation Program, 10052781, Development of Process Technology and High Throughput ALD Equipment for Multi Patterning Process, funded by the Ministry of Trade, Industry and Energy (MOTIE, Korea).

References (1)

Mackus, A. J. M.; Bol, A. A.; Kessels, W. M. M. The Use of Atomic Layer Deposition in Advanced Nanopatterning. Nanoscale 2014, 6 (2011), 10941–10960.

(2)

Shamiryan, D.; Paraschiv, V.; Boullart, W.; Baklanov, M. R. Plasma Etching: From Micro- to Nanoelectronics. High Energy Chem. 2009, 43 (3), 204–212.

(3)

Geissler, M.; Xia, Y. Patterning: Principles and Some New Developments. Adv. Mater. 2004, 16 (15 SPEC. ISS.), 1249–1269.

(4)

Pimpin, A.; Srituravanich, W. Reviews on Micro- and Nanolithography Techniques and Their Applications. Eng. J. 2012, 16 (1), 37–55.

(5)

Grigorescu, A. E.; Hagen, C. W. Resists for Sub-20-Nm Electron Beam Lithography with a Focus on HSQ: State of the Art. Nanotechnology 2009, 20 (29), 292001.

(6)

Niroomand, A.; Zhou, B.; Alapati, R. SIMPLIFIED PITCH DOUBLING PROCESS FLOW. US 7,732,343 B2, 2010.

(7)

Profijt, H. B.; Potts, S. E.; van de Sanden, M. C. M.; Kessels, W. M. M. Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges. J. Vac. Sci. Technol. A 29 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Vacuum, Surfaces, Film. 2011, 29 (5), 50801. (8)

Beynet, J.; Park, H.-S.; Inoue, N. METHOD OF FORMING SEMICONDUCTOR PATTERNS. US 8,252,691 B2, 2012.

(9)

Bencher, C.; Chen, Y.; Dai, H.; Montgomery, W.; Huli, L. 22nm Half-Pitch Patterning by CVD Spacer Self Alignment Double Patterning (SADP). Proc. SPIE 2008, 6924, 69244E–1.

(10)

Ban, Y.; Miloslavsky, A.; Lucas, K.; Choi, S.-H.; Park, C.-H.; Pan, D. Z. Layout Decomposition of Self-Aligned Double Patterning for 2D Random Logic Patterning. Proc. SPIE 2011, 7974, 79740L–1.

(11)

Pikus, F. G.; Torres, A. Advanced Multi-Patterning and Hybrid Lithography Techniques. 20th Asia South Pacific Des. Autom. Conf. ASP-DAC 2015 2016, 611–616.

(12)

Raaijmakers, I. J. Current and Future Applications of ALD in Micro-Electronics. ECS Trans. 2011, 41 (2), 3–17.

(13)

Klaus, J. W.; Sneh, O.; Ott, A. W.; George, S. M. Atomic Layer Deposition of SiO2 Using Catalyzed and Uncatalyzed Self-Limiting Surface Reactions. Surf. Rev. Lett. 1999, 6 (3–4), 435–448.

(14)

Lim, J. W.; Yun, S. J.; Lee, J. H. Low-Temperature Growth of SiO2 Films by PlasmaEnhanced Atomic Layer Deposition. ETRI J. 2005, 27 (1), 118–121.

(15)

Murata, T.; Miyagawa, Y.; Nishida, Y.; Yamamoto, Y.; Yamashita, T.; Matsuura, M.; Asai, K.; Miyatake, H. Low-Temperature Silicon Oxide Offset Spacer Using PlasmaEnhanced Atomic Layer Deposition for High-K/metal Gate Transistor. Jpn. J. Appl. Phys. 2010, 49 (4 PART 2), 04DB11.

(16)

Beynet, J.; Wong, P.; Miller, A.; Locorotondo, S.; Vangoidsenhoven, D.; Yoon, T.-H.; Demand, M.; Park, H.-S.; Vandeweyer, T.; Sprey, H.; et al. Low Temperature PlasmaEnhanced ALD Enables Cost-Effective Spacer Defined Double Patterning (SDDP). Proc. SPIE 2009, 7520, 75201J.

(17)

Putkonen, M.; Bosund, M.; Ylivaara, O. M. E.; Puurunen, R. L.; Kilpi, L.; Ronkainen, H.; Sintonen, S.; Ali, S.; Lipsanen, H.; Liu, X.; et al. Thermal and Plasma Enhanced Atomic Layer Deposition of SiO2 Using Commercial Silicon Precursors. Thin Solid Films 2014, 558, 93–98.

(18)

Dingemans, G.; van Helvoirt, C. a. a.; Pierreux, D.; Keuning, W.; Kessels, W. M. M. Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties. J. Electrochem. Soc. 2012, 159 (3), H277.

(19)

Klaus, J. W.; Sneh, O.; George, S. M. Growth of SiO2 at Room Temperature with the Use of Catalyzed Sequential Half-Reactions. Science (80-. ). 1997, 278 (1978), 1934–1936.

(20)

McCool, B. a.; Desisto, W. J. Self-Limited Pore Size Reduction of Mesoporous Silica Membranes via Pyridine-Catalyzed Silicon Dioxide ALD. Chem. Vap. Depos. 2004, 10 (4), 190–194.

30 / 34 ACS Paragon Plus Environment

Page 30 of 49

Page 31 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

(21)

Klaus, J. W.; George, S. M. Atomic Layer Deposition of SiO2 at Room Temperature Using NH3-Catalyzed Sequential Surface Reactions. Surf. Sci. 2000, 447, 81–90.

(22)

Ferguson, J. D.; Smith, E. R.; Weimer, A. W.; George, S. M. ALD of SiO2 at Room Temperature Using TEOS and H2O with NH3 as the Catalyst. J. Electrochem. Soc. 2004, 151 (8), G528–G535.

(23)

Klaus, J. W.; Ott, A. W.; Johnson, J. M.; George, S. M. Atomic Layer Controlled Growth of SiO2 Films Using Binary Reaction Sequence Chemistry. Appl. Phys. Lett. 1997, 70 (9), 1092.

(24)

Oneill, B. J.; Jackson, D. H. K.; Lee, J.; Canlas, C.; Stair, P. C.; Marshall, C. L.; Elam, J. W.; Kuech, T. F.; Dumesic, J. A.; Huber, G. W. Catalyst Design with Atomic Layer Deposition. ACS Catal. 2015, 5 (3), 1804–1825.

(25)

Fang, G.-Y.; Xu, L.-N.; Wang, L.-G.; Cao, Y.-Q.; Wu, D.; Li, A.-D. Stepwise Mechanism and H2O-Assisted Hydrolysis in Atomic Layer Deposition of SiO2 without a Catalyst. Nanoscale Res. Lett. 2015, 10, 2–8.

(26)

Kang, J. K.; Musgrave, C. B. Mechanism of Atomic Layer Deposition of SiO2 on the Silicon (100)-2x1 Surface Using SiCl4 and H2O as Precursors. J. Appl. Phys. 2002, 91 (100), 3408–3414.

(27)

Tripp, C. P.; Hair, M. L. Chemical Attachment of Chlorosilanes to Silica: A Two-Step Amine-Promoted Reaction. J. Phys. Chem. 1993, 97 (21), 5693–5698.

(28)

Lee, S.-W.; Park, K.; Han, B.; Son, S.-H.; Rha, S.-K.; Park, C.-O.; Lee, W.-J. Atomic Layer Deposition of Silicon Oxide Thin Films by Alternating Exposures to Si2Cl6 and O3. Electrochem. Solid-State Lett. 2008, 11 (7), G23.

(29)

Du, Y.; Du, X.; George, S. M. SiO2 Film Growth at Low Temperatures by Catalyzed Atomic Layer Deposition in a Viscous Flow Reactor. Thin Solid Films 2005, 491 (1–2), 43–53.

(30)

Du, Y.; Du, X.; George, S. M. Mechanism of Pyridine-Catalyzed SiO2 Atomic Layer Deposition Studied by Fourier Transform Infrared Spectroscopy. J. Phys. Chem. C 2007, 111, 219–226.

(31)

Chen, S.; Fang, G.; Qian, X.; Li, A.; Ma, J. Influence of Alkalinity and Steric Hindrance of Lewis-Base Catalysts on Atomic Layer Deposition of SiO2. J. Phys. Chem. C 2011, 115, 23363–23373.

(32)

Fang, G.; Chen, S.; Li, A.; Ma, J. Surface Pseudorotation in Lewis-Base-Catalyzed Atomic Layer Deposition of SiO2: Static Transition State Search and Born-Oppenheimer Molecular Dynamics Simulation. J. Phys. Chem. C 2012, 116 (50), 26436–26448.

(33)

Rosch, N.; Neyman, K. M.; Birkenheuer, U.; Kruger, S.; Nasluzov, V. A. Density Functional Cluster and Slab Model Studies of Catalysis-Relevant Adsorption Phenomena on Metal and Oxide Surfaces. Kinet. Catal. 1996, 37 (5), 651–660.

(34)

Evarestov, R. a.; Bredow, T.; Jug, K. Connection between Slab and Cluster Models for Crystalline Surfaces. Phys. Solid State 2001, 43 (9), 1774–1782. 31 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(35)

Wang, G. C.; Jiang, L.; Pang, X. Y.; Nakamura, J. Cluster and Periodic DFT Calculations: The Adsorption of Atomic Nitrogen on M(111) (M = Cu, Ag, Au) Surfaces. J. Phys. Chem. B 2005, 109 (38), 17943–17950.

(36)

Banerjee, J.; Behnle, S.; Galbraith, M. C. E.; Mack, H.-G.; Settels, V.; Engels, B.; Tonner, R.; Fink, R. F. Comparison of the Periodic Slab Approach with the Finite Cluster Ansatz for Metal-Organic Interfaces at the Example of PTCDA on Ag(110). 2016, No. 110, arXiv:1610.05509v1.

(37)

Zydor, A.; Elliott, S. D. Thermal Stability of Precursors for Atomic Layer Deposition of TiO2 , ZrO2 , and HfO2 : An Ab Initio Study of α-Hydrogen Abstraction in BisCyclopentadienyl Dimethyl Complexes. J. Phys. Chem. A 2010, 114 (4), 1879–1886.

(38)

Perdew, J. P.; Burke, K.; Ernzerhof, M. Generalized Gradient Approximation Made Simple. Phys. Rev. Lett. 1996, 77 (18), 3865–3868.

(39)

McNellis, E. R.; Meyer, J.; Reuter, K. Azobenzene at Coinage Metal Surfaces: Role of Dispersive van Der Waals Interactions. Phys. Rev. B - Condens. Matter Mater. Phys. 2009, 80 (20), 1–10.

(40)

Yusup, L. L. Density Functional Theory Study on the Atomic Layer Deposition of Silicon Nitride Thin Film, Sejong University, 2017.

(41)

Burton, B. B.; Kang, S. W.; Rhee, S. W.; George, S. M. SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy. J. Phys. Chem. C 2009, 113, 8249–8257.

(42)

Han, B.; Choi, K. H.; Park, K.; Han, W. S.; Lee, W.-J. Low-Temperature Atomic Layer Deposition of Cobalt Oxide Thin Films Using Dicobalt Hexacarbonyl Tert-Butylacetylene and Ozone. Electrochem. Solid-State Lett. 2012, 15 (2), D14.

(43)

Johnson, A. W. Invitation to Organic Chemistry; Jones and Bartlett Publishers: Sudbury, MA, 1999.

(44)

Martell, A. E.; Hancock, R. D. Metal Complexes in Aqueous Solutions; Fackler, J. P. J., Ed.; Springer Science+Business Media, LLC: New York, 1996.

(45)

CHAPTER 21: AMINES http://research.cm.utexas.edu/nbauld/CHAPTER 21.htm (accessed Sep 21, 2017).

(46)

Blitz, J. P.; Shreedhara Murthy, R. S.; Leyden, D. E. The Role of Amine Structure on Catalytic Activity for Silylation Reactions with Cab-O-Sil. J. Colloid Interface Sci. 1988, 126 (2), 387–392.

(47)

Yanguas-gil, A.; Elam, J. W. Growth Rate Control in ALD by Surface Functionalization: Alkyl Alcohols on Metal Oxides. ECS Trans. 2010, 33 (2), 333–342.

(48)

Mameli, A.; Merkx, M. J. M.; Karasulu, B.; Roozeboom, F.; Kessels, W. E. M. M.; MacKus, A. J. M. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS Nano 2017, 11 (9), 9303–9311.

(49)

Seo, S.; Oh, I.-K.; Yeo, B. C.; Han, S. S.; Yoon, C. M.; Yang, J. Y.; Yoon, J.; Yoo, C.; 32 / 34 ACS Paragon Plus Environment

Page 32 of 49

Page 33 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Kim, H.; Lee, Y.; et al. Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al2O3 Nanopatterns. ACS Appl. Mater. Interfaces 2017, 9 (47), 41607–41617. (50)

McDowell, S. A. C.; Buckingham, A. D. On the Correlation between Bond-Length Change and Vibrational Frequency Shift in Hydrogen-Bonded Complexes: A Computational Study of Y···HCl Dimers (Y = N2 , CO, BF). J. Am. Chem. Soc. 2005, 127 (44), 15515–15520.

(51)

Wang, W.; Zhang, Y.; Ji, B.; Tian, A. On the Correlation between Bond-Length Change and Vibrational Frequency Shift in Halogen-Bonded Complexes. J. Chem. Phys. 2011, 134 (22), 224303.

33 / 34 ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Table of content (TOC)

34 / 34 ACS Paragon Plus Environment

Page 34 of 49

Page 35 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

144x108mm (300 x 300 DPI)

ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 1. The O–H bond length of H2O molecule after hydrogen interaction with different alkylamines. 232x148mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 36 of 49

Page 37 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 2. (a) The optimized geometry and (b) the isosurface of electrophilicity of a Si2Cl6 molecule on the OH-terminated SiO2 surface with pyridine. Red color indicates the electrophile tendency and blue color indicates the nucleophile tendency. 247x120mm (300 x 300 DPI)

ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 3. (a) The optimized geometry and (b) the isosurface of electrophilicity of a Si2Cl6 molecule on the OH-terminated SiO2 surface with Et3N. Red color indicates the electrophile tendency and blue color indicates the nucleophile tendency. 158x76mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 38 of 49

Page 39 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 4 234x215mm (300 x 300 DPI)

ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 5 124x99mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 40 of 49

Page 41 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 6 155x72mm (300 x 300 DPI)

ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 7 155x72mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 42 of 49

Page 43 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 8 88x72mm (300 x 300 DPI)

ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 9 91x54mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 44 of 49

Page 45 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 10 114x57mm (300 x 300 DPI)

ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 11 103x103mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 46 of 49

Page 47 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 12 157x44mm (300 x 300 DPI)

ACS Paragon Plus Environment

Langmuir 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 13 141x55mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 48 of 49

Page 49 of 49 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Langmuir

Figure 14 112x55mm (300 x 300 DPI)

ACS Paragon Plus Environment