Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate

Jan 9, 2017 - Directed self-assembly (DSA) of block copolymers (BCPs) combines advantages of conventional photolithography and polymeric materials and...
1 downloads 7 Views 2MB Size
Subscriber access provided by UB + Fachbibliothek Chemie | (FU-Bibliothekssystem)

Communication

Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next-Generation Lithography Guan-Wen Yang, Guang-Peng Wu, Xuanxuan Chen, Shisheng Xiong, Christopher G. Arges, Shengxiang Ji, Paul F Nealey, Xiao-Bing Lu, Donald J. Darensbourg, and Zhi-Kang Xu Nano Lett., Just Accepted Manuscript • DOI: 10.1021/acs.nanolett.6b05059 • Publication Date (Web): 09 Jan 2017 Downloaded from http://pubs.acs.org on January 10, 2017

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

Nano Letters is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next-Generation Lithography Guan-Wen Yang,1 Guang-Peng Wu,*1 Xuanxuan Chen,2 Shisheng Xiong,2,3 Christopher G. Arges,4 Shengxiang Ji,5 Paul F. Nealey,*2,3 Xiao-Bing Lu,6 Donald J. Darensbourg7 and ZhiKang Xu*1 Prof. G.-P. Wu, Dr. G.-W Yang and Prof. Z.-K. Xu MOE Laboratory of Macromolecular Synthesis and Functionalization, Adsorption and Separation Materials & Technologies of Zhejiang Province, Department of Polymer Science and Engineering, Zhejiang University, Hangzhou 310027, China E-mail: [email protected]; [email protected] X. Chen, Dr. S. Xiong, X. and Prof. P. F. Nealey Institute for Molecular Engineering, University of Chicago, Chicago, Illinois, 60637, United States E-mail: [email protected] Prof. C. G. Arges Cain Department of Chemical Engineering Louisiana State University Baton Rouge, LA 70803, United States Dr. S. Xiong, Prof. P. F. Nealey Materials Science Division, Argonne National Laboratory, 9700 S. Cass Avenue, Argonne, Illinois, 60439, United States Prof. S. Ji Key Laboratory of Polymer Ecomaterials, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, 5625 Renmin Street, Changchun 130022, China Prof. X.-B. Lu State Key Laboratory of Fine Chemicals Dalian University of Technology Dalian 116024, China Prof. D. J. Darensbourg Department of Chemistry, Texas A&M University, 3255 TAMU, College Station, Texas 77843, United States

ACS Paragon Plus Environment

1

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 18

ABSTRACT: Directed self-assembly (DSA) of block copolymers (BCPs) combines advantages of conventional photolithography and polymeric materials and shows competence in semiconductors and data storage applications. Driven by the more integrated, much smaller and higher performance of the electronics, however, the industry standard polystyrene-blockpoly(methyl methacrylate) (PS-b-PMMA) in DSA strategy cannot meet the rapid development of lithography technology because its intrinsic limited Flory-Huggins interaction parameter (χ). Despite hundreds of block copolymers have been developed, these BCPs systems are usually subject to a trade-off between high χ and thermal treatment, resulting in incompatibility with the current nano-manufacturing fab processes. Here we discover that polystyrene-b-poly(propylene carbonate) (PS-b-PPC) is well qualified to fill key positions on DSA strategy for the nextgeneration lithography. The estimated χ value for PS-b-PPC is 0.079 that is two times greater than PS-b-PMMA (χ = 0.029 at 150 oC), whilst processing the ability to form perpendicular sub10 nm morphologies (cylinder and lamellae) via the industry preferred thermal-treatment. DSA of lamellae forming PS-b-PPC on chemo-epitaxial density multiplication demonstrates successful sub-10 nm long-range order features on large-area patterning for nanofabrication. Pattern transfer to the silicon substrate through industrial sequential infiltration synthesis (SIS) is also implemented successfully. Compared with the previously reported methods to orientation control BCPs with high χ value (including solvent annealing, neutral top-coats and chemical modification), the easy preparation, high χ value and etch selectivity while enduring thermal treatment demonstrates PS-b-PPC as a rare and valuable candidate for advancing the field of nanolithography. KEYWORDS: directed self-assembly, block copolymer, lithography, thermal annealing, chemical pattern, sub-10 nm

ACS Paragon Plus Environment

2

Page 3 of 18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

Patterning strategies based on directed self-assembly (DSA) of block copolymers (BCP) is a promising competitor to extreme ultraviolet (EUV) and multiple patterning techniques due to their ability to form periodic, perfectly registered, and ordered nanostructured patterns over large areas.1 Because it is arguably a low cost, high throughput nano-manufacturing platform, semiconductor manufacturers have R&D activities around DSA of BCPs for contact hole filling,2 FinFET fabrication,3 and high density information storage4 based on polystyrene-blockpoly(methyl methacrylate) (PS-b-PMMA) system. As an industry standard system for DSA, PSb-PMMA has drawn abiding attention because PS and PMMA blocks show non-preferential wetting at the free surface under processing condition (170 ~ 250 oC), allowing perpendicular orientation of the different blocks on neutral substrates via simple thermal treatment.5 However, a significant limitation to PS-b-PMMA is the relatively low Flory-Huggins interaction parameter (χ) that essentially limited the access of domain periods (L0) to around 22 nm while sub-10 nm resolution is generally demanded for next generation lithography.6,7 The low χ also has a negative role on the interfacial width between the two domains impacting the line-edge roughness. In addition, due to the limited dry-etch selectivity between the PS and PMMA blocks, the ability to selectively remove the PMMA domain for pattern transfer of the nanostructure to the underlying substrate remains a key challenge.8–10 As a result of all these factors, PS-bPMMA is challenging to be used as the second-generation BCPs for the fast developing lithography technology. With the above considerations in mind, the new-generation BCPs for DSA lithography must satisfy the requirements of strong phase separation characteristic (high segment-segment interaction parameter, high χ) for sub-10 nm structures and standing up to thermal annealing treatment to well fit with the current nano-manufacturing fab processes. Unfortunately, high χ

ACS Paragon Plus Environment

3

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 18

value and thermal annealing treatment is a pair of contradictions for BCPs. That is, in order to assemble into features (< 10 nm) for advanced lithography, BCPs must have high χ, because of domain spacing varies as L0 ≈ χ1/6N2/3 in the strong-segregation limit (N is the degree of the polymerization).11 From the molecular architectural point of view, however, the χ increases via introducing constituent blocks with considerably dissimilar polarity,12 and the less polar block with lower surface energy tends to wet the free air surface under thermal treatment and thus drives the orientation of domains parallel to the substrate instead of being perpendicular through the film.13 To achieve orientation control for high χ BCPs, many efforts including solvent annealing,14–21 neutral top-coats22–24 and post-polymerization modifications25–27 have been proposed to balance the domain surface energies. Although the aforementioned strategies enable BCP systems with sub-10 nm features, the strategies are not a good fit with current nanomanufacturing fab processes because of the use of solvents, length annealing processes, or applying an extra topcoat layers. Plus, they introduce challenges for subsequent pattern transfer. Therefore, the straightforward approach to circumventing the said problems is to develop a BCP with high χ value, thermal annealing amenability and high-fidelity pattern transfer capability to meet the next generation lithography for low cost, high throughput manufacturing of sub-10 nm features. In 2015, Bates and Hillmyer summarized and forecasted the most recently developed BCPs with high χ parameter.12 Among dozens of these BCPs, polystyrene-block-polylactide (PS-bPLA) was considered as an exception that could be thermally annealed for perpendicular structure formation,28 albeit with tiny defects for the sub-10 nm features in the related studies.29– 31

Recently, Ji and coworkers showed that the stereochemistry of PLA blocks played a

significant role for the assembly behaviors of PS-b-PLA on chemical patterns.32 More recent

ACS Paragon Plus Environment

4

Page 5 of 18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

studies shows that solvent annealing and solvent vapors with temperature (so called solvothermal vapor annealing) can much efficiently induce the perpendicular orientation for PS-b-PLA vs thermal annealing use only.33,34 Compared with the most studied acrylic esters and ester polymers (such as PMMA, PLA), aliphatic polycarbonate (PC) offer several advantages as the chemical compositions of carbonate unit can be readily customized,35 thus allowing easy tuning of the χ and surface energies for the related BCPs. Another advantage of the polycarbonate-based BCP is that carbonate structures (-O-(C=O)-O-) in the polymer backbone can greatly increase the sensitivity of the polymer to UV photons and the metal coordination ability,36 under which PC domain can be selectively removed by radiation-wet etching or be qualified as a hard mask through infiltration of organometallic precursor,37 thus enabling pattern transfer of the nanostructure to the underlying substrate. More recently, scientists from IBM reported a polystyrene-block-polytrimethylene carbonate (PS-b-PTMC) BCP for thin film self-assembly. Even with high χ parameter, however, the surface energy of the PS and PTMC blocks is quite different, resulted hole-island defects for the lamellae-forming sample via thermal treatment.38 Subsequently, the same group reported another polycarbonate containing BCP (PS-b-PMTC-Me), based on which 19 nm (full pitch) feature patterning was obtained through blending with a polymer additives by thermal annealing.39 Herein, we discover that polystyrene-bpoly(propylene carbonate) (PS-b-PPC) copolymers are well qualified candidates to meet challenges on the DSA roadmap towards the next-generation lithography. The χ of PS-b-PPC estimated by mean-field theory is ≈ 0.079 at 150 oC, which is over twice larger than PS-bPMMA (χ ≈ 0.029 at 150 oC) system. Importantly, such high-χ PS-b-PPC is favorable to thermal annealing treatment for obtaining perpendicular, through-film, and sub-10 nm features. Moreover, the thin PS-b-PPC film demonstrates higher etch selectivity than PS-b-PMMA, and

ACS Paragon Plus Environment

5

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 18

can also be incorporated into the industrial sequential infiltration synthesis (SIS) process for subsequent pattern transfer. Overall, such benefits as easy preparation, high χ value, significant etch selectivity and thermal treatment compatibility makes PS-b-PPC an attractive customized candidate for high throughput DSA with access to sub-10 nm resolution.

Scheme 1. Synthesis of polystyrene-block-poly(propylene carbonate) (PS-b-PPC) by SalenCo[III]Cl/PPNCl catalyst system

Among

various

strategies

for

aliphatic

PC

synthesis,

transition-metal-catalyzed

CO2/epoxide coupling reaction has become one of the most important areas in modern polymer chemistry due to the highly atom economics,40 products selectivity and prospect of C1 chemistry.41,42 Poly(propylene carbonate)s (PPC) stemmed from the alternating copolymerization of CO2 and propylene oxide (PO) is the most studied CO2-based PC because of the inexpensive raw materials and high reactivity. Importantly, we have noticed that the PPC has similar surface energy (42.9 mJ/m2) compared with PS (42.4 mJ/m2).43 Based on this concept, the well-defined PS-b-PPC copolymers were synthesized via in-situ chain-transfer polymerization with an aim to exploring its potential for DSA-enabled future semiconductor nodes. In Scheme 1, SalenCo(III) complex (1) and bis(triphenylphosphine)iminium chloride (PPNCl, 2) cocatalyst were used to

ACS Paragon Plus Environment

6

Page 7 of 18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

catalyze CO2 propylene oxide alternating polymerization.44,45 During the polymerization process, the hydroxyl end-capped polystyrene (PS-OH) serves as a macromolecular chain-transfer agent to afford new initiators to alternatingly copolymerize propylene oxide/CO2, yielding PS-b-PPC block copolymers. Synthesis procedure and characterization of the BCPs are described in the Supplementary

Information.46–48

Unimodal

gel

permeation

chromatography

(GPC)

chromatograms with narrow molecular weight distributions (< 1.05) for the obtained polymers demonstrates the successful chain extension from the ends of polystyrene to afford the predesigned PS-b-PPC copolymers (Figure S1). By varying the molecular weight of the PS-OH macro-initiator or/and the conversion of the propylene oxide/CO2, PS-b-PPC samples with different molecular weights and volume fractions were obtained (the molar ratio was determined by NMR, and a representative spectra is shown in Figure S2 in supporting information). The characteristics for the BCP samples explored in this study are list in Table 1. The thermal properties of the PS-b-PPC samples were characterized by thermal gravity analysis (TGA) and differential scanning calorimetry (DSC). Two decomposition peaks on the thermolysis curve of the PS-b-PPC sample, ~ 220 °C and ~ 345 °C, were attributed to PPC block and PS block, respectively under a nitrogen atmosphere (Figure S3). Two glass transition temperatures at ~ 30 oC of PPC block and ~ 90 oC of PS block indicated the occurrence of microphase separation of the PS-b-PPC (Figure S4). The bulk morphologies of a series of PS-bPPC samples were characterized by small angle x-ray scattering (SAXS), and all samples were thermally treated prior to SAXS analysis by annealing in a vacuum oven at 100–180 oC for 24 h (Figure S5). Domain spacing values (L0), given in Table 1, were calculated from the principal scattering peak position (q). The morphologies of the BCPs were assigned for each sample based on the presence and location of higher order scattering peaks with respect to q. By varying

ACS Paragon Plus Environment

7

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 18

molecular weight/volume fraction of the PS, three classical equilibrium morphologies were observed: spheres on a body-centered cubic lattice, hexagonally packed cylinders, and lamellae. For simplicity, PS-b-PPC with total molecular weight of (x kg/mol) and y volume fraction of PPC (fPPC) is referenced as SC(x, y). When fPPC = 19%, a sphere morphology with a L0 of 14.9 nm was observed (SC(15.5, 0.19), entry 1). While the volume percentage of the PPC increases to 32% and 49%, hexagonally packed cylinders with L0 = 16.0 nm (SC(13.3, 0.32), entry 2) and lamellae with L0 = 16.8 nm (SC(19.3, 0.49), entry 3) were clearly detected. Preparing a set of samples with lower molecular weights, much smaller lamellae L0 (15.6 nm for SC(13.7, 0.46), 14.5 nm for SC(12.2, 0.48) in entries 4-5) were well detected by SAXS, SEM and GISAXS, as discussed below.

Table 1. Properties of PS-b-PPC samplesa Entry

a

Sampleb

Mn of PSc

Mn of PPCd

(Kg/mol)

(Kg/mol)

PDIe

Nf

Dg

TODT

(nm)

(K)

Morphologyg

1

SC(15.5, 0.19)

12.0

3.5

1.03

149

14.9

sphere

2

SC(13.3, 0.32)

8.5

4.8

1.01

129

16.0

cylinder

3

SC(15.6, 0.47)

7.6

8.0

1.01

150

16.8

441

lamellae

4

SC(13.7, 0.46)

6.9

6.8

1.03

132

15.6

425

lamellae

5

SC(12.2,0.48)

5.9

6.3

1.04

119

14.5

415

lamellae

b

The detailed synthesis procedure is supplied in supplementary information. SC(x, y) = PS-b-PPC with x kg/mol and 3 3 c y volume ratio of PPC. fPPC is determined using densities ρ(PPC) = 1.26 g/cm and ρ(PS) = 1.05 g/cm . Calculated d 1 e by GPC with polystyrene standard. Calculated from molar ratio of both blocks determined by H NMR analysis. The o f 3 g polydispersity index from GPC in THF at 30 C. N Based on 118 Å reference volume. Domain spacing and morphology were determined by SAXS analysis at room temperature.

Our initial study focused on the segment-segment interaction parameter (χ) of the PS-b-PPC block copolymers by using the self-consistent mean-field theory (SCMF), according to which the order-disorder transition of symmetric di-block polymers is predicted to occur at χN = 10.5.11

ACS Paragon Plus Environment

8

Page 9 of 18

The transition temperature (TODT) was characterized by monitoring the dynamic storage modulus (G’) as a function of temperature with an instrumental error of ±3 oC as presented in Table 1. A typical rheological temperature sweep is shown in Figure S6 in supporting information. Values of χ at the TODT for a series of PS-b-PPC samples with known N and f were extracted from this theoretical morphology diagram. These extracted values for specific temperatures were used to determine the temperature dependence of χ, which is plotted in Figure 1 and is described by equation, χ(T) = (126.4±8.5)/T−(0.22±0.02). It should be noted that for a direct comparison with other BCPs systems, a common reference volume of 118 Å3 was used, which is consistent with lattice nature of the original Flory-Huggins theory.12, 49 From the equation, the estimated χ value for PS-b-PPC is 0.079 at 150 oC, which is two times greater than PS-b-PMMA (χ = 0.029 at 150 oC) at the same condition,6 indicating PS-b-PPC fall within the BCP class of intermediate to strong segregation regimes. 0.96

0.88

χ × 10

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

0.80

0.72

0.64 2.27x10-3 2.30x10-3 2.34x10-3 2.38x10-3 2.41x10-3

1/T (K-1)

Figure 1. Linear dependence of χ as a function of inverse TODT (K-1) based on SC(15.6, 0.47), SC(13.7, 0.46) and SC(12.2,0.48) in Table 1 using SCMF Considering that the surface energy of PMMA (44.8 ± 2.9 mJ/m2) is similar with PPC, poly(styrene-ran-methyl methacrylate) (PS-r-PMMA) was selected for the neutral layer to balance the interfacial energy between the BCP and substrates. Another justification for the

ACS Paragon Plus Environment

9

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 18

selection of PS-r-PMMA as the neutral layer stems from it being widely studied for both scientific and technological purposes,50,51 and is also compatible with lithographic patterning process flows used in manufacturing processes. Based on these considerations above, the lamellae-forming SC(15.6, 0.47) was selected as the model sample, and was annealed over a series of PS-r-PMMA modified silicon substrates to find a neutral process window. Figure S7 in supporting information gives representative SEM images for films of SC(15.6, 0.47) with thickness of approximately 17 nm (~1.0 L0) on PS-r-PMMA brushes with FSt ranging from 0.05 to 0.2 (where FSt is the fraction of styrene in the brushes) after vacuum annealing at 145 oC for 0.5 h. Perpendicular lamellas of SC(15.6, 0.47) was achieved on terminal-OH brushes where 0.10< FSt < 0.15, indicating the interfacial interactions at both of top and bottom of the BCP thin film are well balanced (Figure 2A). For FSt 0.20, the surface was nearly absent of perpendicular structures. To complement the local structural information obtained from SEM, grazing incidence small-angle X-ray scattering (GISAXS) was used to globally probe over large sample area and through the entire film depth. Sharp diffraction peaks of first and second order at qy = 0.0374 Å-1 and qy = 0.0748 Å-1 (Figure 2B, 2C) indicated a full perpendicular lamellae structure well corresponding to a spacing of L0 = 16.8 nm, which was consistent with the SEM observations. According to the neutral window for SC(15.6, 0.47), perfect perpendicular lamellae structure with long-range lateral ordering was also obtained for sample SC(13.7, 0.46) and SC(12.2,0.48) as shown in Figure S8A and S8B in supporting information. Perpendicular window for cylinders-forming was also studied, where the composition was shifted toward

ACS Paragon Plus Environment

10

Page 11 of 18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

higher Fst compared to the lamellae-forming PS-b-PPCs. After annealing at 145 oC in vacuum for 30 min, SC(13.3, 0.32) with long-range ordered hexagonal arrays (L0 = 16 nm) selfassembled into vertically oriented structures for 0.28< FSt < 0.35 (Figure S8C in supporting information).

Figure 2. (A) SEM image of a 17 nm SC(15.6, 0.47) thin film on silicon wafer coated with PS-r-PMMA brushes with FSt = 12% via thermal annealing at 145 oC for 30 min; (B) GISAXS pattern and (C) qy line cut at qz = 0.0165 Å-1 of the same film at an incident angle of 0.2o.

Based on geometric parameters and neutral conditions determined from the self-assembly of PS-b-PPC copolymers and our previous success for DSA of PS-b-PMMA system,52 the process to direct the assembly of these new materials on chemical patterns was performed. Figure 3 (top) illustrates the process scheme used to fabricate lithographically defined chemical patterns and to subsequently direct the assembly of lamellae forming PS-b-PPC thin films via thermal annealing.52 A crosslinked polystyrene mat on SiO2 substrate was coated with photoresist and subsequently patterned with electron beam lithography (EBL) for a grating pattern consisting of parallel lines of crosslinked PS guiding stripes with a period of Ls. Then, the samples after development were exposed to oxygen plasma to further trim the width of PS guiding stripes (W). This step also selectively etched away the crosslinked PS in regions not protected by patterned photoresist. After thoroughly stripping the resist, a neutral hydroxyl-terminated PS-r-PMMA

ACS Paragon Plus Environment

11

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 18

brush for BCP was grafted onto the interspaces between the crosslinked PS stripes. The pattern fabricated, Ls, was commensurate with the domain spacing of BCP, while W was controlled by the electron beam exposure dose and subsequent O2 plasma trim etching. Lastly, the directed assembly of PS-b-PPC thin films with a lamellae structure was guided by the underlying chemical patterns during thermal annealing.

Figure 3. Schematic illustration of the procedure used to create prepatterned substrates and the DSA of lamellae forming PS-b-PPC system with 5x density multiplication under thermal annealing, and the top-down SEM images showing DSA results of SC(15.6, 0.47) films (17 nm in thickness)

ACS Paragon Plus Environment

12

Page 13 of 18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

assembled on chemical patterns at Ls = 80, 82, 84, 86 and 88 nm (horizontal axis) with different PS guiding stripe widths (vertical axis). The scale bar in the image at bottom right applies to all images.

To guide the assembly of block copolymers, chemical patterns with W = 0.5L0 – 0.7L0 were usually designed to be commensurate with the PS domain size. However, it is a great challenge of fabricating uniform lithographically patterned lines with such a low W at 0.5 L0 (≈ 6-8 nm) for PS-b-PPC samples. Recently, we have shown that that excellent assemblies of vertical lamellae could also be achieved via density multiplication when W ~ 1.5L0.53 Following this mechanism, the assembly behavior of model SC(15.6, 0.47) films with 5x density multiplication on chemical patterns with varying Ls and W ≈ 1.5 L0 were investigated and presented in Figure 3 (bottom). The samples were annealed at 145 oC for 30 min, and were treated by O2 reactive ion etching to improve SEM imaging contrast. The images of 0.5 µm × 0.5 µm area are used as representatives of the entire patterned area that is 30 µm × 30 µm in size. The geometric features of the chemical patterns were varied systematically to ascertain which geometries yielded optimal assembly. The pitch size ranged from 80 to 88 nm with a step of 2 nm and three different guiding stripe widths (17, 25, and 33 nm) were prepared. At Ls = 80 nm, there were a large number of unregistered lamellae and dislocation defects for all three W, as shown in the first column of Figure 3. As expected, uniform lamellae with low defect densities were formed over the entire imaged area at Ls = 82–86 nm with W = 25 nm (1.5 L0), as marked by green frames. Upon Ls increased to 88 nm (right column in Figure 3), unregistered lamellae together with dislocation defects were observed again, indicating that the process window for Ls is about ± 2.3% L0. To better fit the current semiconductor manufacturing processes, rapid processing (< 10 minutes) was applied under high temperature that close to the TODT. To our delight, perpendicular domain orientation was successfully obtained (Figure S9). Frankly, several defects was observed on the related DSA

ACS Paragon Plus Environment

13

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 18

patterns, and we conceived the defect may be caused by the non-optimal chemical pattern that applied in our experiment (W = 0.5 L0 is the most ideal condition based on our long-term experience on PS-b-PMMA, and W = 1.5 L0 was used in this study because of the current lithography limitation). One of the practical challenges for DSA is to have the assembled nanostructures transferred to arbitrary substrates. Therefore it’s desirable to have significant etching selectivity between the two different domains. As a demonstration, we measured the PS and PPC etching rate under O2RIE. It is 3.2 nm/s for PPC, which is ~3.2 times faster than that of PS (1.0 nm/s) under the same conditions (Figure S10 in supporting information). Interestingly, PPC has a slightly higher etching rate than PMMA (2.0 nm/s) at the same condition (Figure S9). In order to enhance the etching contrast between different blocks in PS-b-PPC block copolymers, sequential infiltration synthesis (SIS) process was also employed to synthesize aluminum oxide (AlOx) in the more polar PPC domain, followed by pattern transfer down to the underlying substrate. As shown in Figure S11 in supporting information, sub-10 nm lamellae structure was successfully transferred to the designated regions of Si wafer after using the optimized SIS process followed by SF6/C4F8-RIE. The resulting sidewall etch profiles of the Si structures were sufficiently vertical, making PS-b-PPC an attractive candidate for low-cost, sub-10 nm patterning for advanced semiconductor manufacturing such as finFET logic and memory circuits. In summary, a new high χ block copolymer PS-b-PPC was synthesized by customization, which is well qualified to fill key positions on DSA strategy for the next-generation lithography with the ability to form perpendicular domains on the substrate through simple thermal annealing. By using the chemoepitaxial density multiplication (5X), successful direct selfassembly of lamellae forming PS-b-PPC was performed to achieve sub-10 nm features with

ACS Paragon Plus Environment

14

Page 15 of 18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

long-range order, which is suitable for delivering large-area patterning for nanofabrication. The fact that this BCP form vertical orientation via thermal annealing method makes it an attractive candidate for industry and we envision it as a leading contender for sub-10 nm manufacturing. Pattern transfer using an etch mask made from SIS treatment to Si was also successfully implemented. Overall, the easy preparation, high χ value and etch selectivity whilst enduring thermal treatment enables PS-b-PPC to be a rare candidate for the next-generation lithography. The scope of future work will be focused on further optimization in reducing domain spacing, line edge/wide roughness, process time as well as the pattern transfer property for this kind of block copolymer. SUPPORTING INFORMATION Supporting Information for BCP synthesis and related characterization is available free of charge via the Internet at http://pubs.acs.org. ACKNOWLEDGEMENT

The authors acknowledge support from the National Science Foundation (Award Number: 1344891). Financial support is also acknowledged to National Natural Science Foundation of China (Grant 21674090). Use of the Center for Nanoscale Materials (CNM) and Advanced Photon Source (APS), an Office of Science User Facility operated for the U.S. DOE Office of Science by Argonne National Laboratory, is supported by the U.S. DOE under Contract No. DEAC02-06CH11357. G.-P.W gratefully acknowledge the support of “Hundred Talents Program” of Zhejiang University.

REFERENCES (1) Kim, H.-C.; Park, S.-M.; Hinsberg, W. D. Chem. Rev. 2010, 110, 146–177. (2) Yi, H.; Bao, X.-Y.; Zhang, J.; Bencher, C.; Chang, L.-W.; Chen, X.; Tiberio, R.; Conway, J.; Dai, H.; Chen, Y.; Mitra,

ACS Paragon Plus Environment

15

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 18

S.; Wong, H. S. P. Adv. Mater. 2012, 24, 3107–3114. (3) Liu, C.-C.; Thode, C. J.; Rincon Delgadillo, P. A.; Craig, G. S. W.; Nealey, P. F.; Gronheid, R. J. Vac. Sci. Technol. B 2011, 29, 06F203. (4) Ruiz, R.; Kang, H.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Science 2008, 321, 936–939. (5) Mansky, P.; Russell, T. P.; Hawker, C. J.; Mays, J.; Cook, D. C.; Satija, S. K. Phys. Rev. Lett. 1997, 79, 237–240. (6) Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Macromolecules 1990, 23, 890–893. (7) Wan, L.; Ruiz, R.; Gao, H.; Patel, K. C.; Albrecht, T. R.; Yin, J.; Kim, J.; Cao, Y.; Lin, G. ACS Nano 2015, 9, 7506– 7514. (8) Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. Adv. Mater. 2010, 22, 5129–5133. (9) Thurn-Albrecht, T.; Schotter, J.; Kästle, G. A.; Emley, N.; Shibauchi, T.; Krusin-Elbaum, L.; Guarini, K.; Black, C. T.; Tuominen, M. T.; Russell, T. P. Science 2000, 290, 2126–2129. (10) Thurn-Albrecht, T.; Steiner, R.; DeRouchey, J.; Stafford, C. M.; Huang, E.; Bal, M.; Tuominen, M.; Hawker, C. J.; Russell, T. P. Adv. Mater. 2000, 12, 787–791. (11) Bates, F. S.; Fredrickson, G. H. Annu. Rev. Phys. Chem. 1990, 41, 525–557. (12) Sinturel, C.; Bates, F. S.; Hillmyer, M. A. ACS Macro Lett. 2015, 4, 1044–1050. (13) Ji, S.; Liu, C.-C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Macromolecules 2008, 41, 9098–9103. (14) Xiong, S.; Wan, L.; Ishida, Y.; Chapuis, Y.-A.; Craig, G. S. W.; Ruiz, R.; Nealey, P. F. ACS Nano 2016, 10, 7855– 7865. (15) Jung, Y. S.; Chang, J. B.; Verploegen, E.; Berggren, K. K.; Ross, C. A. Nano Lett. 2010, 10, 1000–1005. (16) Jung, Y. S.; Ross, C. A. Adv. Mater. 2009, 21, 2540–2545. (17) Kim, S. H.; Misner, M. J.; Russell, T. P. Adv. Mater. 2004, 16, 2119–2123. (18) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Science 2009, 323, 1030–1033. (19) Son, J. G.; Gotrik, K. W.; Ross, C. A. ACS Macro Lett. 2012, 1, 1279–1284. (20) Sun, Z.; Chen, Z.; Zhang, W.; Choi, J.; Huang, C.; Jeong, G.; Coughlin, E. B.; Hsu, Y.; Yang, X.; Lee, K. Y.; Kuo, D. S.; Xiao, S.; Russell, T. P. Adv. Mater. 2015, 27, 4364–4370. (21) Kennemur, J. G.; Yao, L.; Bates, F. S.; Hillmyer, M. A. Macromolecules 2014, 47, 1411–1418. (22) Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. Science 2012, 338, 775–779. (23) Ramírez-Hernández, A.; Suh, H. S.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2014, 47, 3520–3527. (24) Zhang, J.; Clark, M. B.; Wu, C.; Li, M.; Trefonas, P.; Hustad, P. D. Nano Lett. 2016, 16, 728–735. (25) Kim, S.; Nealey, P. F.; Bates, F. S. ACS Macro Lett. 2012, 1, 11–14. (26) Kim, S.; Nealey, P. F.; Bates, F. S. Nano Lett. 2014, 14, 148–152. (27) Seshimo, T.; Maeda, R.; Odashima, R.; Takenaka, Y.; Kawana, D.; Ohmori, K.; Hayakawa, T. Sci. Rep. 2016, 6, 19481. (28) Zalusky, A. S.; Olayo-Valles, R.; Wolf, J. H.; Hillmyer, M. A. J. Am. Chem. Soc. 2002, 124, 12761–12773. (29) Keen, I.; Yu, A.; Cheng, H.-H.; Jack, K. S.; Nicholson, T. M.; Whittaker, A. K.; Blakey, I. Langmuir 2012, 28, 1587615888. (30) Olayo-Valles, R.; Guo, S.; Lund, M. S.; Leighton, C.; Hillmyer, M. A. Macromolecules 2005, 38, 10101–10108. (31) Keen, I.; Cheng, H.-H.; Yu, A.; Jack, K. S.; Younkin, T. R.; Leeson, M. J.; Whittaker, A. K.; Blakey, I. Macromolecules 2014, 47, 276–283. (32) Li, X.; Liu, Y.; Wan, L.; Li, Z.; Suh, H.; Ren, J.; Ocola, L. E.; Hu, W.; Ji, S.; Nealey, P. F. ACS Macro Lett. 2016, 5, 396–401. (33) Sinturel, C.; Grosso, D.; Boudot, M.; Amenitsch, H.; Hillmyer, M. A.; Pineau, A.; Vayer, M. ACS Appl. Mater. Interfaces 2014, 6, 12146–12152. (34) Cummins, C.; Mokarian-Tabari, P.; Andreazza, P.; Sinturel, C.; Morris, M. A. ACS Appl. Mater. Interfaces 2016, 8, 8295–8304. (35) Tempelaar, S.; Mespouille, L.; Coulembier, O.; Dubois, P.; Dove, A. P. Chem. Soc. Rev. 2013, 42, 1312–1336. (36) Cowman, C. D.; Padgett, E.; Tan, K. W.; Hovden, R.; Gu, Y.; Andrejevic, N.; Muller, D.; Coates, G. W.; Wiesner, U. J. Am. Chem. Soc. 2015, 137, 6026–6033. (37) Blakey, I.; Yu, A. G.; Blinco, J.; Jack, K. S.; Liu, H. P.; Leeson, M.; Yeuh, W.; Younkin, T.; Whittaker, A. K. Proc. SPIE, 2010, 763635.

ACS Paragon Plus Environment

16

Page 17 of 18

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Nano Letters

(38) Vora, A.; Wojtecki, R. J.; Schmidt, K.; Chunder, A.; Cheng, J. Y.; Nelson, A.; Sanders, D. P. Polym. Chem. 2016, 7, 940–950. (39) Vora, A.; Schmidt, K.; Alva, G.; Arellano, N.; Magbitang, T.; Chunder, A.; Thompson, L. E.; Lofano, E.; Pitera, J. W.; Cheng, J. Y.; Sanders, D. P. ACS Appl. Mater. Interfaces 2016, 8, 29808–29817. (40) So far, the condensation of glycol/triphosgene(or chloroformate) is the major process for aliphatic polycarbonate production, and this method necessitates efficient removal of the condensate (such as HCl) to shift the reaction equilibrium to polymerization, thus demonstrating low atom economy compared with the ring-opening polymerization of cyclic carbonate and CO2/epoxide copolymerization. (41) Darensbourg, D. J. Chem. Rev. 2007, 107, 2388–2410. (42) Lu, X.-B.; Ren, W.-M.; Wu, G.-P. Acc. Chem. Res. 2012, 45, 1721–1735. (43) Luinstra, G. A.; Borchardt, E. Adv. Polym. Sci. 2012, 245, 29–48. (44) Cohen, C. T.; Chu, T.; Coates, G. W. J. Am. Chem. Soc. 2005, 127, 10869–10878. (45) Lu, X.-B.; Shi, L.; Wang, Y.-M.; Zhang, R.; Zhang, Y.-J.; Peng, X.-J.; Zhang, Z.-C.; Li, B. J. Am. Chem. Soc. 2006, 128, 1664–1674. (46) Darensbourg, D. J.; Wu, G.-P. Angew. Chem. Int. Ed. 2013, 52, 10602–10606. (47) Wu, G.-P.; Darensbourg, D. J. Macromolecules 2016, 49, 807–814. (48) Wang, Y.-M.; Song, X.-Y.; Shao, S.-H.; Xu, P.-X.; Ren, W.-M.; Lu, X.-B. Polym. Chem. 2013, 4, 629–636. (49) Durand, W. J.; Blachut, G.; Maher, M. J.; Sirard, S.; Tein, S.; Carlson, M. C.; Asano, Y.; Zhou, S. X.; Lane, A. P.; Bates, C. M.; Ellison, C. J.; Willson, C. G. J. Polym. Sci., Part A: Polym. Chem. 2015, 53, 344–352. (50) Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. Science 1997, 275, 1458–1460. (51) Ryu, D. Y.; Shin, K.; Drockenmuller, E.; Hawker, C. J.; Russell, T. P. Science 2005, 308, 236–239. (52) Liu, C.-C.; Ramírez-Hernández, A.; Han, E.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Kang, H.; Ji, S.; Gopalan, P.; de Pablo, J. J.; Nealey, P. F. Macromolecules 2013, 46, 1415–1424. (53) Khaira, G. S.; Qin, J.; Garner, G. P.; Xiong, S.; Wan, L.; Ruiz, R.; Jaeger, H. M.; Nealey, P. F.; de Pablo, J. J. ACS Macro Letters 2014, 3, 747–752.

ACS Paragon Plus Environment

17

Nano Letters

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 18

TOC Graphic

High chi (χ) BCP

Thermal annealing

Sub-10 nm Morphology

Perpendicular Orientation

ACS Paragon Plus Environment

18