Directed Self-Assembly of Triblock Copolymer on Chemical Patterns

Engineering, University of Chicago, Chicago, Illinois 60637, United States. ‡ HGST, a Western Digital Company, San José, California 95135, Unit...
3 downloads 11 Views 2MB Size
Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing Shisheng Xiong,† Lei Wan,‡ Yoshihito Ishida,†,§ Yves-Andre Chapuis,‡,⊥ Gordon S. W. Craig,† Ricardo Ruiz,‡ and Paul F. Nealey*,† †

Institute for Molecular Engineering, University of Chicago, Chicago, Illinois 60637, United States HGST, a Western Digital Company, San José, California 95135, United States



S Supporting Information *

ABSTRACT: Directed self-assembly (DSA) of block copolymers (BCPs) is a leading strategy to pattern at sublithographic resolution in the technology roadmap for semiconductors and is the only known solution to fabricate nanoimprint templates for the production of bit pattern media. While great progress has been made to implement block copolymer lithography with features in the range of 10−20 nm, patterning solutions below 10 nm are still not mature. Many BCP systems self-assemble at this length scale, but challenges remain in simultaneously tuning the interfacial energy atop the film to control the orientation of BCP domains, designing materials, templates, and processes for ultra-high-density DSA, and establishing a robust pattern transfer strategy. Among the various solutions to achieve domains that are perpendicular to the substrate, solvent annealing is advantageous because it is a versatile method that can be applied to a diversity of materials. Here we report a DSA process based on chemical contrast templates and solvent annealing to fabricate 8 nm features on a 16 nm pitch. To make this possible, a number of innovations were brought in concert with a common platform: (1) assembling the BCP in the phase-separated, solvated state, (2) identifying a larger process window for solvated triblock vs diblock BCPs as a function of solvent volume fraction, (3) employing templates for sub-10-nm BCP systems accessible by lithography, and (4) integrating a robust pattern transfer strategy by vapor infiltration of organometallic precursors for selective metal oxide synthesis to prepare an inorganic hard mask. KEYWORDS: directed self-assembly, ABA triblock copolymer, PS-b-P2VP, chemical contrast pattern, solvent annealing, lithography, pattern transfer

D

thermodynamic ability to selectively interact with different substrate chemistries, and the kinetic ability to reassemble its BCP chains at modest annealing temperatures in order to minimize the free energy of the BCP film on the chemical pattern. However, because of its low Flory−Huggins interaction parameter (χ), PS-b-PMMA cannot achieve feature sizes smaller than ∼12 nm. But 12 nm is too large for many applications. For example, for BPM with a density above 2Tb/ in.2 at a bit aspect ratio of 2, a sub-10-nm half-pitch is required for both circumferential and radial lines.3 Therefore, it is imperative to investigate BCPs with χ larger than that of PS-bPMMA to achieve feature sizes smaller than ∼12 nm. Unfortunately, for most BCPs other than PS-b-PMMA, the constituent blocks have considerably dissimilar surface energies.

irected self-assembly (DSA) of block copolymers (BCPs) on guiding patterns is a scalable manufacturing scheme to enhance the resolution of advanced lithographic techniques and maintain essential patterning attributes such as registration and perfection. Currently, the DSA process of BCPs is being pursued for a variety of technologies found in the semiconductor industry1 and for high-density information storage (e.g., bit pattern media (BPM)).2,3 DSA offers the ability to control dimensions of the assembled BCP, which is critical for BPM applications. On chemical patterns generated from 193i photolithography, DSA of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) with a 28 nm full pitch on a 300 mm track line has been demonstrated using thermal annealing.4,5 PS-b-PMMA has been the most frequently studied BCP for DSA of through-film domains because it simultaneously satisfies the requirements for through-film pattern transfer, including a negligible difference in the surface energy of its constituent blocks, the © 2016 American Chemical Society

Received: June 3, 2016 Accepted: August 2, 2016 Published: August 2, 2016 7855

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

www.acsnano.org

Article

ACS Nano

generate through-film domains. For example, Nealey et al. demonstrated that DSA of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) annealed in acetone vapor could yield well-ordered, through-film domains.21 The solvent fraction in the solvated BCP was sufficiently low to permit both microphase separation and assembly on the underlying chemical pattern, while at the same time being high enough to afford polymer chain mobility and mitigation of surface energy differences between the blocks. Rapid removal of the solvent from the swollen BCP preserves the lateral dimensions of the assembled structure, with the film only shrinking in the direction of the film thickness.22 Xiao et al. achieved similar results with solvent-annealing-induced DSA of PS-b-P2VP-b-PS triblock copolymers.23 In this paper, we demonstrate DSA on chemical patterns of P2VP-b-PS-b-P2VP triblock copolymers using a well-controlled solvent annealing process to achieve through-film, sub-10-nm structures in a short time frame, with low defectivity at the nanoscale and with uniformity across the macroscale. By comparing the self-assembly behavior of PS-b-P2VP diblock and P2VP-b-PS-b-P2VP triblock copolymers with similar domain spacing in the bulk, we show that the triblock copolymer affords a larger process window than the diblock for DSA with solvent annealing. The thermodynamics of the solvated triblock place it farther away from the order−disorder transition (ODT) at a given BCP volume fraction ϕ, such that there is a larger process window for DSA in terms of ϕ. To transfer the patterns made by DSA with solvent annealing of the P2VP-b-PS-b-P2VP into the underlying substrate, we used selective-block atomic layer deposition (ALD) to convert one block into aluminum oxide (AlOx) for high etch resistance.

As a result, the lower surface energy block segregates to the free surface during thermal annealing, and the desired perpendicular, through-film domains are difficult to achieve. Three general approaches have been developed to circumvent the problem of the low surface energy block segregating to the top surface so that perpendicular, through-film domains can be achieved. One approach focuses on synthesizing block copolymers with blocks that have equal surface energy during thermal annealing.6−8 For example, by controlled epoxidation of the polyisoprene block of polystyrene-block-polyisoprene (PS-b-PI) Kim et al. could vary the surface energy of the PI block and find an extent of epoxidation that made the surface energy difference between PS and PI negligible.6 A second approach is to place a material, referred to as a “top-coat”, on top of the film prior to thermal annealing.9−12 The third approach, and the method used in this paper, is to forego thermal annealing and instead use solvent annealing, in which a BCP film is swollen by absorbing solvent from the vapor phase.13 The solvated BCP chains have sufficient mobility to self-assemble at room temperature, and the surface energies of the solvated BCP domains in the solvent-rich atmosphere are approximately equal. The mobility of the solvated BCP chains can lead to self-assembled domains with impressive long-range order, as demonstrated more than a decade ago by Kim et al.14 While the high chain mobility afforded by solvent annealing is significant, the ability of solvent annealing to mitigate surface energy differences of different blocks may be even more important because it enables DSA with a broad variety of BCP materials, including those with high χ values, in turn leading to self-assembled structures with very small feature sizes. Indeed, self-assembly of BCP films with solvent annealing has yielded the smallest self-assembled feature sizes to date. For example, Park et al. used solvent annealing to self-assemble thin films of salt-complexed, 7 kg/mol polystyrene-block-poly(ethylene oxide) on sapphire wafers and achieved very large arrays of ordered cylinders that were 3 nm in diameter and had a 7 nm center-to-center spacing.15 Ross and co-workers solvent annealed thin films of polystyrene-block-polydimethylsiloxane on substrates with topographical features (channels or posts) and showed that they could assemble sub-10-nm domains aligned with the topographical features.16−18 Solvent annealing has also been employed for DSA on chemical patterns. For example, Tada et al. used a spotted chemical pattern and solvent annealing to direct the assembly of a BCP composed of blocks of PMMA and poly(methyl acrylate) functionalized with polyhedral oligomeric silsesquioxane, yielding a well-ordered array of spherical domains.19 Similarly, Bosworth et al. used solvent annealing to assemble poly(α-methylstyrene)-blockpoly(4-vinylpyridene) on a chemical pattern to yield ordered cylinders parallel to the substrate.20 Despite all of these impressive demonstrations, very little has been done with solvent annealing to yield perpendicular, through-film domains. For DSA with solvent annealing to achieve ordered, through-film domains that are registered to an underlying chemical pattern, the BCP must assemble in the solvated, microphase separated state, and in addition to there being enough solvent in the solvated BCP to mitigate any surface energy differences between the two blocks, the solvent concentration must also be low enough to permit the solvated blocks to interact selectively with the different chemistries of the underlying chemical pattern. When the solvated BCP has the correct amount of solvent, it can microphase separate, assemble with respect to the underlying chemical pattern, and

RESULTS AND DISCUSSION The experimental process was developed directly from previous work on chemical pattern fabrication24 and solvent annealing of PS-b-P2VP with acetone vapors.21 The chemically patterned substrates for DSA were formed using a process reported by Liu et al. (Figure 1a).24 A mat of cross-linked polystyrene (XPS) was lithographically patterned and subsequently etched. A second etch was used to trim the size of the patterned XPS lines. After etching, a poly(styrene-rand-2-vinylpyridine-randhydroxyethyl methacrylate) (P(S-r-2VP-r-HEMA)) random copolymer brush was grafted onto the exposed substrate sections to complete the chemical pattern for DSA of the BCPs. The P(S-r-2VP-r-HEMA) brush had 60% styrene. Once the chemical pattern was made, a thin film of BCP was spin coated onto the chemical pattern and then solvent annealed following a variation of earlier procedures to allow the BCP to selfassemble on the underlying chemical pattern.20,25 As in the work of Wan et al., acetone was selected as the solvent because it simultaneously met several conditions necessary for DSA of PS-b-P2VP on a chemical pattern with solvent annealing: (1) PS-b-P2VP swollen in acetone microphase separates over a range of BCP volume fractions ϕ at a given temperature; (2) there is sufficient acetone present in the swollen film to mitigate surface energy differences of the PS and P2VP blocks at the surface; (3) ϕ is large enough such that the solvated PS and P2VP domains still recognize the surface chemistries of the chemical pattern, enabling the chemical pattern to direct the assembly of the microdomains; and (4) acetone can be evaporated from the swollen PS-b-P2VP such that the dimensions of the solvated PS-b-P2VP lateral features as processed correspond to LS. 7856

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano

the domains unchanged from the solvated, microphaseseparated state and the dimensions of the film only changing in thickness.26 After annealing, a block-selective infiltration method (Figure 1a) was employed to synthesize AlOx in the more polar P2VP domain in order to increase the etching contrast between the different blocks in the PS-b-P2VP block copolymers. This sequential infiltration synthesis (SIS) process was previously demonstrated to enhance the aspect ratio of patterned, etched PS-b-PMMA to make it more amenable for pattern transfer.27,28 The SIS process removes the need of a deposited hard mask layer and also allows the line-space pattern to be transferred directly to the underlying substrate. The SIS process was carried out in an ALD reactor operating in a semistatic mode. The temperature of the SIS process was set slightly below the Tg of the block copolymers to facilitate facile diffusion of the reactants into the P2VP domains without altering the assembled nanostructure. The AlOx uptake of the film was controlled by the number of pulses of precursor injection and the number of repeat cycles of the SIS process. To inform the choice of BCP used in the process described above, we performed self-assembly experiments with thin films of both diblock and triblock versions of PS-b-P2VP. Both the diblock copolymer (PS-b-P2VP, Mn = 14.1 kg/mol, SV-14) and the triblock copolymer (P2VP-b-PS-b-P2VP, Mn = 32.8 kg/mol, VSV-33) formed lamellae,29,30 as verified by small-angle X-ray scattering (SAXS) (Supporting Information Figure S2). The bulk period L0 of VSV-33 and SV-14 thermally annealed at 170 °C was 15.5 and 14 nm, respectively, as determined by fast Fourier transform of the scanning electron microscopy (SEM) of a thin film self-assembled with a PMMA top-coat, in a manner similar to previous work.11 The behavior of the diblock and triblock copolymers when self-assembled on nonpreferential, unpatterned substrates was markedly different, as seen in Figure 2a. The solvent-annealed films of VSV-33 had domains that were much more uniform and well developed than the solvent-annealed films of SV-14. The triblock copolymer formed well-developed lamellae in a fingerprint pattern, whereas the diblock copolymer yielded a disordered morphology for all three values of ϕ during solvent annealing. Additionally, for the assembled triblock copolymer films, correlation lengths increased and the lamellar period L0,s decreased as the solvent concentration s during annealing increased. For example, the L0,s of solvated VSV-33 with ϕ = 0.8 and solvent concentration 0.2, L0,20, was 16.7 nm, whereas when ϕ equaled 0.74 and 0.69, L0,26 = 16.3 nm, and L0,31 = 16.1 nm. The relationship of L0,s with ϕ is shown in the log−log graph in Figure 2b. All of the L0,s values differed significantly from L0 of the thermally annealed VSV-33, due both to the solvent dilution effect of χ and to the temperature dependence of χ for PS and P2VP31 combined with the high temperature of thermal annealing (170 °C) compared to solvent annealing, which was performed at room temperature. The different behaviors of the diblock and triblock copolymer systems when self-assembled with solvent annealing shown in Figure 2a are consistent with the simulation work of Hur et al.32 They used a theoretically informed coarse-grained model to simulate self-assembly of PS-b-P2VP with solvent annealing. They found that there was a range of ϕ over which well-ordered, through-film structures could be formed. When ϕ was larger than the range, the lack of solvent reduced the polymer mobility, such that defects could not be eliminated. When ϕ was too small, the solvated system was in the

Figure 1. Directed self-assembly (DSA) with solvent flow processes. (a) Complete DSA and etching process, including generation of a chemical pattern period LS and line width W from a film of crosslinked polystyrene brush (XPS), spin coating and solvent annealing a block copolymer film on the chemical pattern, sequential infiltration synthesis of AlOx in one block of the assembled copolymer, and selective polymer removal and etching of the underlying silicon substrate. (b) Schematic of the flow chamber for solvent annealing. Nitrogen acts as carrier gas though an acetone solvent bubbler. A second line is fed with pure nitrogen for dilution to lower the solvent activity. The flow chamber is equipped with a spectral reflectometer (Filmetrics, F-20 UV) to monitor the thickness change of polymer thin film upon exposure to acetone vapor.

Solvent annealing was conducted using a custom-built annealing chamber, shown in Figure 1b.25 The amount of solvent imbibed by the polymer film was controlled by the partial pressure of the solvent vapor flowing through the chamber. The thickness of the BCP film increased until a steady state was established between solvent content in the film and the solvent vapor in the flow chamber. At the end of solvent annealing, the solvated, microphase-separated block copolymer film was quenched rapidly with a pure, dry nitrogen stream to evaporate the solvent, leading to a fast drop in the measured film thickness. The change in film thickness, expressed in terms of polymer volume fraction ϕ, during the solvent annealing cycle is shown in Supporting Information Figure S3. ϕ is determined from the change in film thickness Δt and initial thickness t by ϕ = t/(t + Δt). The drying step maintained the nanostructure of the BCP film, with the lateral dimensions of 7857

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano L0, s ∝ (χeff )1/6 ∝ ϕα /6

(2)

The best fit of eq 2 to the data in Figure 2b was L0,s ∝ ϕ0.207, corresponding to α = 1.24 for the system in this work. The α value of 1.24 indicated that the solvent annealing occurred in a semiconcentrated regime.32 To estimate the temperature dependence of χeff we used

χ = A / T (K ) − B

with A = 63 and B = 0.033.31 Dai et al. determined this relationship over a temperature range of 156−230 °C, and thus, as others have done,35 we extrapolated this equation to room temperature. We substituted eq 3 into eq 1 and used the α value determined from Figure 2b to derive χeff(ϕ, T) and equated χeff(ϕ, T)N to a (χN)ODT value. With that equation we could solve for the ϕODT at 25 °C of each system. As a starting point (χN)ODT = 10.495 for a symmetric diblock copolymer,34 and (χN)ODT = 19 for a symmetric triblock copolymer.36 In this work the triblock copolymers were not truly symmetric, having a PS block volume fraction of 0.55. Therefore, we used 19.75 for (χN)ODT of the triblock.37 Note that once the 2× molecular weight of the triblock (when compared to the diblock) is taken into account, the molecular weight equivalent (χN)ODT of the triblock was 9.875. Using (χN)ODT = 10.495 and 19.75 we calculated that ϕODT = 0.45 and 0.53 for the triblock and diblock, respectively. The difference between ϕODT of the diblock and the triblock shows that the triblock was farther away from the ODT than the diblock. Additionally, based on our experiments with VSV triblock copolymers with lower M n than VSV-33, we determined that we would need to take into account fluctuation effects in the determination of (χN)ODT of the solvated BCPs.38 The fluctuation effects reflect the large-amplitude concentration fluctuations that lead to the ODT occurring at larger values of (χN)ODT.39,40 We used methods provided by Fredrickson et al.41 for solvated BCPs in the concentrated regime and semidilute regime to estimate (χN)ODT values of 14.77 and 19.85, respectively, for the solvated diblock at 25 °C (see Supporting Information for details on calculations). Use of these (χN)ODT values and their corresponding equations enabled us to calculate ϕODT = 0.68 and 0.86 for the concentrated and semidilute regimes, respectively. Because the Fredrickson calculation for the concentrated regime better matched the data in Figure 2a (acquired at ϕ = 0.69, 0.74, and 0.80) than did the calculation for the semidilute regime, we used the concentrated regime equations to calculate that ϕODT = 0.49 for the triblock at 25 °C. Thus, taking fluctuation effects into account increased the difference between the ϕODT values of the diblock and triblock copolymers. The important conclusion that can be drawn from the difference in ϕODT values is that ϕODT for the triblock copolymer is farther from the experimental value (25 °C) of ϕ than ϕODT for the diblock copolymer. This means that the solvated triblock copolymer will be more likely to be away from ϕODT over the range of ϕ in this work and therefore more likely to form uniform structures than the solvated diblock copolymer. Viewed another way, the triblock copolymer can be solvated with more solvent (to a lower value of ϕ) than the diblock copolymer and remain in the solvated but microphaseseparated state. We made many assumptions in our ϕODT calculation: we could have used the χ vs T relationship for PS and P2VP developed by Shulz et al., which has A = 91.6 and B

Figure 2. (a) Top-view SEM images to compare the result of assembly of triblock (7.9k−17k−7.9k) and diblock (7k−7k) copolymers after solvent annealing with block polymer fraction ϕ during solvent annealing. While the diblock does not form lamellae at any values of ϕ, the triblock acquires a lamellar morphology. (b) Lamellar period L0,s of self-assembled, solvent-annealed triblock copolymers at different ϕ. The red line segment shows the fit to the data of a power law relationship, shown in the graph.

disordered state, and a defective dried film was observed after removing the solvent. In this work, we hypothesize that the solvated diblock copolymer was either in the disordered state or close to the ODT, whereas the solvated triblock copolymer was in the ordered state. Thus, even though we used the same values of ϕ for the results shown in Figure 2a, the solvated triblock copolymer was farther from the ODT than the diblock copolymer. The distance of the solvated BCPs from the ODT can be understood by calculating the value of ϕ at the ODT, ϕODT, for each solvated system at 25 °C. ϕODT can be found by estimating χN as a function of ϕ and T, equating χN to (χN)ODT, and solving for ϕODT. In a solvated BCP system, the polymer−polymer interaction is diluted by the solvent, and the effective interaction parameter, χeff, is substituted for χ. χeff is often related to χ of the block copolymer without solvent by a factor of ϕ raised to the power α:33 χeff = ϕαχ

(3)

(1)

We determined α from the measured value of L0,s as a function of ϕ shown in Figure 2b, using the following scaling law, applicable in the strong segregation regime (SSR):34 7858

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano = 0.095 in eq 3;42 we assumed in eq 2 the system is in the SSR; but if it were in the intermediate segregation regime, the exponent of 1/6 in eq 2 could be 1/443 or as large as 1/3,44 and we took fluctuation effects into account. However, regardless of the assumptions chosen, the ϕODT of the triblock will always appear at lower values of ϕ compared to the diblock copolymer, and therefore the solvated triblock copolymer will always be farther from the ODT than its diblock analogue at the same ϕ. Significantly, the ϕODT calculations for each of the BCPs show that solvent annealing magnified the otherwise small difference in (χN)ODT of diblock and triblock copolymers. The magnification by solvent annealing of the ODT difference between diblocks and triblocks as a function of ϕ at room temperature suggests that significantly smaller features can be achieved with solvent annealing of triblock copolymers compared to diblock copolymers. Experimentally, the magnification of the difference between triblocks and diblocks can be seen in the region of 0.7 < ϕ < 0.8 at room temperature. The SEMs (Figure 2a) show that SV-14 has a disorganized morphology, which we attribute to the diblock system’s proximity to its ϕODT. On the basis of the self-assembly results, we focused our DSA experiments on the triblock copolymer system and operated the solvent annealing flow chamber such that 0.7 < ϕ < 0.8. For the DSA experiments we first examined the guide line width W of the chemical pattern, in terms of both its uniformity and its effect on DSA of VSV-33. We prepared a series of chemical patterns with the same pitch (LS = 4L0,26), but exposed with 20 different e-beam doses to vary W (nine are presented here, each with 20 μm by 20 μm area; among them six are found with good DSA). The centered e-beam dose led to W ≈ 1.5L0,26 (exposure fill factor of 50/50). After plasma trimming, W ranged from approximately 0.9L0,26 to 1.7L0,26 (note: L0,26 is the period of the self-assembled BCP solvated with 26% solvent and different from the pitch of guiding chemical pattern, LS). SEM images of the e-beam resist pattern after trimming are shown in Figure 3. Although plasma trimming of the XPS lines can offer sublithographic level resolution, we witnessed significant deterioration of the quality of guide lines with continued reduction of W. At the smallest values of W, the line width of the patterned line was nonuniform, suggesting it would be beneficial to use a larger W if possible. To test DSA on the chemical patterns shown in Figure 3, VSV-33 was spin-coated onto the chemical patterns and solvent annealed with ϕ = 0.74. The SEM images after DSA with solvent annealing of VSV-33 on the chemical patterns formed by the e-beam resist pattern are shown to the right of the SEMs of the corresponding photoresist pattern in Figure 3. DSA with solvent annealing on chemical patterns with W ≈ 1.0L 0,26 or W ≈ 1.5L 0,26 yielded well-ordered, perpendicular lamellae. However, when 1.1L0,26 < W < 1.3L0,26, perpendicular lamellae formed with a poorly ordered, fingerprint pattern. These results showed that W ≈ 1.5L0,26 was an achievable guide line width for chemical patterns designed for DSA with density multiplication. Although the range W = 0.5L0−0.7L0 has been used most frequently in DSA studies with thermal annealing,45,46 the observed DSA with W ≈ 1.0L0 could potentially be explained by a sidewall guiding effect.47 DSA with density multiplication has been also demonstrated with W ≈ 1.5L0. For example, Williamson et al. demonstrated perfect DSA of PS-b-PMMA with 3:1 density multiplication when W = 1.5L0.48 In our work here, the challenges in uniformly trimming W to a width of

Figure 3. SEM images of the photoresist pattern after line trimming (left) and the corresponding block copolymer films after directed self-assembly, with 4× density multiplication, on the chemical pattern (right). The line width W of each photoresist pattern is shown in terms of L0,26 (L0,26 = 16.3 nm). Good assemblies were achieved when W was near L0,26 or 1.5L0,26, but disordered lamellae assembled when 1.1L0,26 < W < 1.3L0,26. The scale bar applies to all images. 7859

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano 0.5L0,26 to 0.7L0,26 (8−11 nm) precluded us from using those line widths. Instead, based on our results shown in Figure 3, we set the XPS guide line width W of the chemical pattern to 1.5L0,26 for subsequent experiments on DSA with density multiplication in this work. Along with setting the value of W for density multiplication studies, we also examined the surface chemistry required for the background region of chemical patterns for density multiplication. We solvent-annealed films of VSV-33 on unpatterned substrates coated with P(S-r-2VP), with styrene content ranging from 40% to 75%, in increments of 2.5%, and also with a styrene content of 100%. VSV-33 self-assembled into vertical lamellae on substrates when the styrene content of the brush was in the range of 50% to 75%. The main differences that were observed in the solvent-annealed, self-assembled films were undulations in the film thickness when the fraction of styrene in the brush equaled 40% or 100% (Supporting Information Figure S4). Accordingly, a P(S-r-2VP) brush with PS composition from 50% to 75% could be used as the brush in the background region of the chemical patterns designed for 3× and 4× density multiplication. A direct comparison of a chemical pattern designed for 4× density multiplication, with W = 1.5L0,26 and LS = 4L0,26, and a thin film of VSV-33 assembled on that chemical pattern, via solvent annealing, is shown in the SEMs in Figure 4a. The SEMs were taken after AlOx formation and polymer removal, indicating that through-film, perpendicular lamellae were obtained during DSA. Also, one P2VP domain (bright lines, due to AlOx inclusion, in the bottom SEM in Figure 4a) was sandwiched by two PS domains (dark lines), aligned above each XPS guiding line (light gray in the top SEM in Figure 4a). In the case of the 4× density multiplication shown in Figure 4a, the remaining five domains per each LS were aligned above the background region between the guiding lines. Presumably, for 3× density multiplication with W = 1.5L0,26 and LS = 3L0,26, three domains would align above the XPS guiding lines, and the remaining three domains would align above the background regions. The ability of DSA with density multiplication, via solvent annealing, to yield defect-free long-range order over a very large area is revealed in the moiré pattern in Figure 4b, which is caused by the interference of the line pattern formed by the perfectly aligned, parallel domains with the raster scan lines of the SEM.49 We also examined the ability of the chemical patterns to control the period of lamellae of a thin film of VSV-33 when it is assembled on a chemical pattern with solvent annealing. The solvent annealing occurred with 26% acetone in the solvated film, so L0,26 would be the period of the solvated BCP when it self-assembles. Figure 5 shows top-down SEM images of thin films of VSV-33 after DSA with 3× or 4× density multiplication on chemical patterns with varying LS. To the right of each SEM is the corresponding power spectrum, which was used to determine the period of the assembled block copolymer, LP. DSA on the chemical patterns with different LS values resulted in the BCPs assembled with 3LP or 4LP equal to LS for 3× or 4× density multiplication, respectively. Thus, DSA with solvent annealing has the ability to compensate for differences between LS and 3L0,26 or 4L0,26 (∼±4% in this experiment), as seen before in DSA with thermal annealing.50 The deviation of the assembled period, LP, from LS defined by the e-beam pattern (divided by the density multiplication factor) was well below 1%.

Figure 4. (a) Comparison of a photoresist pattern used to make a chemical pattern (top) to a thin film of P2VP-b-PS-b-P2VP triblock copolymer (L0,26 = 16.3 nm) directed to assemble on the chemical pattern with solvent annealing with 4× density multiplication (bottom). The line width of the chemical pattern W was 1.5L0,26, and the pattern period LS was 65 nm. The bright lines in the top image are photoresist, indicative of XPS guide lines. The bright lines in the bottom image indicate the presence of AlOx, which was formed in the P2VP domains during sequential infiltration synthesis. (b) Moiré pattern showing the long-range ordering of the assembled triblock copolymer.

Two important conclusions can be drawn from the DSA results presented in Figures 3−5. First, the assembly forms in the solvated state, as demonstrated by Wan et al.51 The ability of the chemical pattern to have such fine control over the dimensions of the assembled BCP film, through the entire thickness of the film, would not be possible unless the BCP assembled on the chemical pattern while it was solvated. DSA of the BCP in the solvated state ties in with the second important conclusion, which is that DSA with solvent annealing can be directly analogous with, and have similar results to, DSA with thermal annealing. The fine dimensional control shown in Figure 5 is similar to that shown by Edwards et al. with DSA by thermal annealing of PS-b-PMMA.50 Similarly, the ability to achieve excellent DSA with density multiplication, via solvent annealing, with W = L0,s or 1.5L0,s is directly analogous to earlier work on DSA with density multiplication, via thermal annealing, by Williamson et al.48 and Liu et al.,52 in which chemical patterns with W = L0 or 1.5L0 successfully directed the assembly of perpendicular lamellae with density multiplication. Along with characterizing the directed assembly of VSV-33 with solvent annealing and density multiplication, we studied the impact of the number of SIS process cycles and BCP film thickness on the image quality of the mask and of the transferred features.28 We examined the AlOx structures that were formed by the SIS process on self-assembled lamellae of 7860

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano

Figure 5. Effect of varying chemical pattern period LS on the directed assembly, with 3× and 4× density multiplication, of acetone-annealed films of P2VP-b-PS-b-P2VP triblock copolymer on the chemical patterns. The top-down SEM images of the assembled triblock copolymers were taken immediately after block-selective infiltration of AlOx. The scale bar in each table applies to all the SEMs in the table. In the right column of each table the corresponding power spectra density of each SEM image is shown. The power spectra were used to determine the pitch of the assembled polymer, LP, shown next to the peak in each power spectrum. The vertical, dashed line in the power spectra corresponds to L0,26 = 16.3 nm.

Figure 6. Sequential infiltration (SIS) enhanced pattern transfer into the underlying silicon substrate. (a) Top-down SEM image of fingerprint lamellae after two cycles of SIS. (b) Top-down SEM after subsequent polymer removal using O2 plasma etching. (c) Cross-sectional SEM image of the fingerprint lamellae after fluorine plasma etching to transfer pattern to Si, using AlOx as mask (mask material removed by NaOH etching). (d) Top-down SEM image of registered lamellae after directed assembly on chemical pattern with pattern transfer to Si.

7861

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano

random copolymer brush was synthesized in our group as shown previously.12 Cross-linkable PS, with glycidyl methacrylate (GMA) as a cross-linking agent, was provided by AZ Electronic Materials. Styrene and 2-vinylpyridene monomer and PS-OH brush were purchased from Polymer Source, Inc. Two types of PS-b-P2VP were used in the study: an AB diblock copolymer and an ABA triblock copolymer. PS-b-P2VP diblock copolymers (Mn = 14.1 kg/mol, SV-14) and P2VP-b-PS-bP2VP triblock copolymers (Mn = 32.8 kg/mol, VSV-33) were synthesized via living anionic polymerization using a bifunctional initiator with sequential addition of styrene followed by 2-vinylpyridine (Supporting Information Figure S1). Preparation of Chemical Pattern. As shown in Figure 1, the chemical patterns for density multiplication were created on O2plasma-cleaned silicon substrates by first depositing a 6−8-nm-thick cross-linked polystyrene (XPS) mat.24 The XPS mat was created with polystyrene containing a small percentage of GMA as a cross-linking agent. A 0.25 wt % solution of the cross-linkable PS in toluene was spin coated onto the silicon wafer to make a PS film. The crosslinkable PS film was heated to 190 °C for 3 h under vacuum to drive the cross-linking reaction and create the XPS. The sample was then washed by repeated sonication in toluene to remove any residual uncross-linked material. ZEP e-beam resist was then coated (50 nm thick) on the XPS mat and then patterned with electron-beam lithography using a rotary e-beam tool. The periods of the chemical contrast patterns (LS = 46, 47, 48, 49 nm; LS = 62, 63, 64, 65, 66 nm) were set to match approximately 3 times or 4 times the natural period of a block copolymer when solvated with 26% acetone (L0,26 = 16.3 nm). The photoresist patterns were exposed to 25 W O2 plasma for 100−200 s to remove the XPS mat in the unprotected regions and to trim the patterned lines of the XPS mat to a width (W) comparable to 3 times the width of the PS lamellae. Thus, the XPS guiding line was patterned and trimmed to a width equal/close to 1.5L0,26. After thoroughly stripping the photoresist in NMP by cycles of heating and sonication, either a P(S-r-2VP-r-HEMA) brush with the desired PS composition or a hydroxyl-terminated PS brush were coated by spin coating at 1000 rpm from a 0.25 wt % solution in toluene. The brush was then grafted in the exposed interspatial regions between XPS stripes by thermal annealing at 200 °C for 1 h to make the background regions of the chemical pattern. After the thermal treatment ungrafted brush molecules were removed by sonication in an NMP bath. Preparation and Solvent Annealing of Thin Block Copolymer Films. Thin films (14−30 nm) of BCP were spin coated from filtered, 1 wt % solutions in toluene. The thicknesses were measured by atomic force microscopy. When we wanted to characterize selfassembly of the BCPs, we spin coated them onto an unpatterned substrate coated with a nonpreferential P(S-r-2VP-r-HEMA) brush. To experiment with directed self-assembly, we spin coated the BCPs onto a chemical pattern, prepared as described above. To anneal the BCP films, acetone vapor was fed into the annealing chamber with a nitrogen carrier gas passed through a solvent bubbler. The resultant saturated vapor stream was then diluted with a dry nitrogen stream to control the amount of solvent in the vapor phase. Mass flow controllers were used to control the mixture of dry nitrogen with the saturated acetone vapor stream. In a typical solvent annealing (SVA) run, one portion of nitrogen (5−500 sccm) flowed through the acetone solvent bubbler, acting as a carrier gas, and the other portion of nitrogen flow (5−100 sccm) went directly to the annealing chamber. The BCP thin films were exposed to acetone vapor in steady flow and constant temperature for different periods of time (Figure 2). To terminate the annealing of the thin films, we stopped the solvent vapor supply and simultaneously increased the pure nitrogen gas flow rate to 500 sccm (annealing chamber volume = 3.5 in.3). The solvent then escaped from the film, and the swelling ratio decreased back to about 2−3%, with only a small amount of residual solvent in the film. Further purging with nitrogen gas helps to remove the residual solvent. Reflectometry (Filmetrics 60) was used to measure the film thickness during SVA, to compute the swelling ratio (SR), and to determine ϕ on a standard control sample. The control film (made with same triblock material) thickness in the dry state was 75 nm. For VSV-33,

VSV-33 after one, two, and three cycles of precursor exposure and purging, as shown in Supporting Information Figure S5a. After one cycle, a very limited growth of AlOx was observed in the P2VP domains. The resulting AlO x line patterns demonstrated insufficient density and significant discontinuities, resulting in no pattern transfer into the underlying Si layer. The second SIS cycle significantly improved the patterning lithography. After two SIS cycles it was apparent that the growth of AlOx in the P2VP domains was much denser, leading to much better patterning of the underlying Si layer, as shown in Figure 6. However, additional SIS cycles led to clear degradation of the polymer morphology, evidenced by bridging and merging of the lines in the lithographically created pattern. On the basis of these results, we selected a process of two SIS cycles on VSV-33 films for DSA samples. The thickness of the BCP film also had a significant impact on the quality of the pattern transferred into the underlying silicon. We examined the pattern etched into a silicon layer using an etch mask made from DSA and SIS treatment of three different thicknesses of VSV-33 film (14, 22, and 30 nm). As shown in the SEMs in Supporting Information Figure S5b, the 14-nm-thick film led to insufficient masking of the underlying silicon. In contrast, the 30-nm-thick film had pattern distortion and domain collapse. An appropriate balance was achieved with the 22-nm-thick film, resulting in successful transfer of the pattern formed by the assembled lamellae into the underlying Si, with fidelity to the AlOx mask in terms of maintaining critical dimensions. A cross-sectional view (Supporting Information Figure S5c, inset) showed that the resulting sidewall etch profiles of the Si structures were sufficiently vertical (aspect ratio >1.0). The optimized SIS process showed no evidence of 3D structures formed on the XPS guiding lines with W = 1.5L0,26.

CONCLUSION We have successfully used solvent annealing to direct the assembly of an ABA triblock copolymer on a chemical pattern to achieve sub-10-nm, through-film features, with long-range order suitable for delivering large-area patterning for nanofabrication. The results show that the assemblies formed in the solvated state. Moreover, the results show that DSA with solvent annealing is analogous to DSA with thermal annealing in many respects. One key area in which the solvent annealing results in this work are similar to previous results of DSA with thermal annealing is that in this work DSA with solvent annealing can yield assembled patterns with periods that correspond to the LS of the chemical pattern over a range of LS values. Additionally, our results show the significant difference in phase separation behavior between diblock and triblock copolymers with similar L0 values in bulk. We envision that the solvent annealing method presented could be applied in industry after simplifying the operation and improving the throughput of fabrication. The ability to control the period of the assembled structure to a specific value of LS should be helpful for semiconductor manufacturing in general and bit patterned media especially. METHODS Materials. Potassium naphthalenide, N-methyl-2-pyrrolidone (NMP), tetrahydrofuran (THF), toluene, and acetone were purchased from Aldrich and used as received. ZEP photoresist was purchased from Zeon chemicals and diluted before spin coating. Poly(styrene-r-2vinylpyridine-r-hydroxyethyl methacrylate) (P(S-r-2VP-r-HEMA)) 7862

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano ⊥

the SR range was 30−60%. We noted that increasing the pressure in the annealing chamber could lead to supersaturated solvent vapor and accelerated solvent annealing. For 16-nm-thick triblock copolymer films with SR = 60%, the effective annealing time could be as short as 1 min. Pattern Transfer. AlOx SIS was performed with alternating exposures of trimethylaluminum (TMA)/H2O at 90 °C using an ALD Fiji F200 from Cambridge Nanotech/Ultratech. Ar was used as inert gas to carry and purge the reactor during the process. Films of selfassembled VSV-33 coated on Si substrates were loaded into the reactor. The reaction chamber was purged with Ar at a flow rate of 20 sccm for 5 min to reduce impurities and moisture content in the chamber. Both TMA and H2O vapors were introduced into the reactor at room temperature (20 °C) using ALD pneumatic valves. The sequencing of the valves was controlled through the software/ hardware system provided by Cambridge Nanotech/Ultratech. Multiple doses of TMA (10 doses of 0.2 s) were sequentially pulsed into the ALD chamber, and the pressure was increased during diffusion to 1.5−2.5 Torr. H2O vapor was diffused into the processing reactor using similar multiple doses (10 doses of 0.2 s) and pressure level. The ALD was operated in exposure mode in order to give the precursor (TMA)/co-reactant (H2O) time to diffuse and bond with the reactive groups of the P2VP domain. During the exposure mode, the ALD reactor was isolated from the system pump. The substrate/triblock films were exposed sequentially to the precursor (TMA) and the coreactant (H2O) for 5 min. Between the two exposure sequences, the pumping was reactivated for 5 min to purge the chamber, allowing unreacted precursor and co-reactant and reaction byproducts to diffuse out of the polymers. Up to three cycles of the SIS process were performed, one cycle corresponding to a sequential exposure of precursor and co-reactant followed by the 5 min purge. After ALD exposure, the BCP template was removed by O2 reactive ion etching (RIE) for 300 s. The remaining AlOx patterns, which replicated the BCP microdomains, were used as a hard mask for pattern transfer into the Si substrates by RIE with CF4/CHF3 gas. After RIE, the residual AlOx was removed by rinsing in a NaOH bath at room temperature. SEM images were obtained using a Zeiss Supra 60 SEM, operating at 5 kV. To enhance the imaging contrast between the PS and P2VP blocks, the self-assembled BCPs were imaged after SIS.

Lam Research Corporation, Fremont, California 94538, United States. Author Contributions

S.X., P.F.N., and R.R. conceived and designed the experiments. Y.I. synthesized the triblock copolymer. L.W. exposed the ebeam pattern on XPS. S.X. prepared the chemical contrast pattern and performed the solvent annealing, block-selective infiltration, polymer removal, and pattern transfer. Y.C. helped in the pattern transfer step. S.X., P.F.N., and R.R. analyzed the experimental results. S.X. wrote the paper, and all authors contributed to discussions and revision of the paper. Notes

The authors declare no competing financial interest.

ACKNOWLEDGMENTS This work is supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences-Materials Science. S.X. received support from the Advanced Storage Technology Corporation. R.R., L.W., and Y.C. were supported by their employer, HGST, a Western Digital Company. S.X. would like to acknowledge HGST, a Western Digital Company, for offering opportunities to use the facilities to conduct research at the San Jose research center. The authors also thank K. C. Patel for technical assistance and acknowledge helpful discussions with S.-M. Hur, G. Khaira, A. Ramirez, and C. Arges. REFERENCES (1) Yi, H.; Bao, X.-Y.; Zhang, J.; Bencher, C.; Chang, L.-W.; Chen, X.; Tiberio, R.; Conway, J.; Dai, H.; Chen, Y.; Mitra, S.; Wong, H. S. P. Flexible Control of Block Copolymer Directed Self-Assembly Using Small, Topographical Templates: Potential Lithography Solution for Integrated Circuit Contact Hole Patterning. Adv. Mater. 2012, 24, 3107−3114. (2) Ruiz, R.; Kang, H.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly. Science 2008, 321, 936−939. (3) Albrecht, T. R.; Arora, H.; Ayanoor-Vitikkate, V.; Beaujour, J.-M.; Bedau, D.; Berman, D.; Bogdanov, A. L.; Chapuis, Y.-A.; Cushen, J.; Dobisz, E. E.; Doerk, G.; Gao, H.; Grobis, M.; Gurney, B.; Hanson, W.; Hellwig, O.; Hirano, T.; Jubert, P.-O.; Kercher, D.; Lille, J.; Liu, Z.; Mate, C. M.; Obukhov, Y.; Patel, K. C.; Rubin, K.; Ruiz, R.; Schabes, M.; Wan, L.; Weller, D.; Wu, T.-W.; Yang, E. Bit-Patterned Magnetic Recording: Theory, Media Fabrication, and Recording Performance. IEEE Trans. Magn. 2015, 51, 0800342. (4) Delgadillo, P. A. R.; Gronheid, R.; Thode, C. J.; Wu, H.; Cao, Y.; Neisser, M.; Somervell, M.; Nafus, K.; Nealey, P. F. Implementation of a Chemo-Epitaxy Flow for Directed Self-Assembly on 300-mm Wafer Processing Equipment. J. Micro/Nanolithogr., MEMS, MOEMS 2012, 11, 031302. (5) Liu, C.-C.; Thode, C. J.; Delgadillo, P. A. R.; Craig, G. S. W.; Nealey, P. F.; Gronheid, R. Towards an All-Track 300 mm Process for Directed Self-Assembly. J. Vac. Sci. Technol., B 2011, 29, 06F203. (6) Kim, S.; Nealey, P. F.; Bates, F. S. Decoupling Bulk Thermodynamics and Wetting Characteristics of Block Copolymer Thin Films. ACS Macro Lett. 2012, 1, 11−14. (7) Vora, A.; Chunder, A.; Tjio, M.; Balakrishman, S.; Lofano, E.; Cheng, J.; Sanders, D. P.; Hirahara, E.; Akiyama, Y.; Polishchuk, O.; Paunescu, M.; Baskaran, D.; Hong, S.; Lin, G. Y. Directed SelfAssembly of Topcoat-Free, Integration-Friendly High-Chi Block Copolymers. J. Photopolym. Sci. Technol. 2014, 27, 419−424. (8) Kim, S.; Bates, C. M.; Thio, A.; Cushen, J. D.; Ellison, C. J.; Willson, C. G.; Bates, F. S. Consequences of Surface Neutralization in Diblock Copolymer Thin Films. ACS Nano 2013, 7, 9905−9919.

ASSOCIATED CONTENT S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsnano.6b03667. Description of the fluctuation equations used to calculate ϕODT; schematic of the copolymerization method used to generate P2VP-b-PS-b-P2VP (ABA linear) triblock copolymer; small-angle X-ray scattering scans of both triblock and diblock copolymers; typical swelling curve, expressed in polymer fraction ϕ of solvated film, for the solvent annealing of triblock copolymers in the flow chamber; macroscale SEM images that show that the neutral window, in terms of styrene content of a random copolymer brush on the underlying substrate, for acetone annealing of triblock copolymer centered at ∼65% styrene by weight; SEM images that show the effect of film thickness and number of sequential infiltration synthesis process cycles on the final structure of the assembled triblock copolymer (PDF)

AUTHOR INFORMATION Corresponding Author

*E-mail: [email protected]. Present Addresses §

Department of Chemistry, Faculty of Engineering, Kanagawa University, Tokyo, Japan. 7863

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano (9) Zhang, J.; Clark, M. B.; Wu, C.; Li, M.; Trefonas, P., III; Hustadt, P. D. Orientation Control in Thin Films of a High-Chi Block Copolymer with a Surface Active Embedded Neutral Layer. Nano Lett. 2016, 16, 728−735. (10) Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. PolaritySwitching Top Coats Enable Orientation of Sub-10-nm Block Copolymer Domains. Science 2012, 338, 775−779. (11) Yoshida, H.; Suh, H. S.; Ramirez-Hernandez, A.; Lee, J. I.; Aida, K.; Wan, L.; Ishida, Y.; Tada, Y.; Ruiz, R.; de Pablo, J.; Nealey, P. F. Topcoat Approaches for Directed Self-Assembly of Strongly Segregating Block Copolymer Thin Films. J. Photopolym. Sci. Technol. 2013, 26, 55−58. (12) Ji, S.; Liu, C. C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Generalization of the Use of Random Copolymers to Control the Wetting Behavior of Block Copolymer Films. Macromolecules 2008, 41, 9098−9103. (13) Sinturel, C.; Vayer, M.; Morris, M.; Hillmyer, M. Solvent Vapor Annealing of Block Polymer Thin Films. Macromolecules 2013, 46, 5399−5415. (14) Kim, S. H.; Misner, M. J.; Xu, T.; Kimura, M.; Russell, T. P. Highly Oriented and Ordered Arrays from Block Copolymers Via Solvent Evaporation. Adv. Mater. 2004, 16, 226−231. (15) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Macroscopic 10-Terabit-Per-Square-Inch Arrays from Block Copolymers with Lateral Order. Science 2009, 323, 1030−1033. (16) Jung, Y. S.; Chang, J. B.; Verploegen, E.; Berggren, K. K.; Ross, C. A. A Path to Ultranarrow Patterns Using Self-Assembled Lithography. Nano Lett. 2010, 10, 1000−1005. (17) Jung, Y. S.; Ross, C. A. Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene-Polydimethylsiloxane Block Copolymer. Nano Lett. 2007, 7, 2046−2050. (18) Chang, J.-B.; Son, J. G.; Hannon, A. F.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Aligned Sub-10-nm Block Copolymer Patterns Templated by Post Arrays. ACS Nano 2012, 6, 2071−2077. (19) Tada, Y.; Yoshida, H.; Ishida, Y.; Hirai, T.; Bosworth, J. K.; Dobisz, E.; Ruiz, R.; Takenaka, M.; Hayakawa, T.; Hasegawa, H. Directed Self-Assembly of POSS-Containing Block Copolymer on Lithographically Defined Chemical Template with Morphology Control by Solvent Vapor. Macromolecules 2012, 45, 292−304. (20) Bosworth, J. K.; Dobisz, E.; Ruiz, R. 20 Nm Pitch Directed Block Copolymer Assembly Using Solvent Annealing for Bit Patterned Media. J. Photopolym. Sci. Technol. 2010, 23, 145−148. (21) Nealey, P. F.; Wan, L. Solvent Annealing Block Copolymers on Patterned Substrates. U.S. Patent 9,299,381, March 29, 2016. (22) Chavis, M. A.; Smilgies, D.-M.; Wiesner, U. B.; Ober, C. K. Widely Tunable Morphologies in Block Copolymer Thin Films through Solvent Vapor Annealing Using Mixtures of Selective Solvents. Adv. Funct. Mater. 2015, 25, 3057−3065. (23) Sun, Z.; Chen, Z.; Zhang, W.; Choi, J.; Huang, C.; Jeong, G.; Coughlin, E. B.; Hsu, Y.; Yang, X.; Lee, K. Y.; Kuo, D. S.; Xiao, S.; Russell, T. P. Directed Self-Assembly of Poly(2-Vinylpyridine)-BPolystyrene-B-Poly(2-Vinylpyridine) Triblock Copolymer with Sub15-nm Spacing Line Patterns Using a Nanoimprinted Photoresist Template. Adv. Mater. 2015, 27, 4364−4370. (24) Liu, C. C.; Han, E.; Onses, M. S.; Thode, C. J.; Ji, S. X.; Gopalan, P.; Nealey, P. F. Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats. Macromolecules 2011, 44, 1876−1885. (25) Cushen, J. D.; Wan, L.; Pandav, G.; Mitra, I.; Stein, G. E.; Ganesan, V.; Ruiz, R.; Willson, C. G.; Ellison, C. J. Ordering Poly(Trimethylsilyl Styrene-Block-(D),(L)-Lactide) Block Copolymers in Thin Films by Solvent Annealing Using a Mixture of Domain-Selective Solvents. J. Polym. Sci., Part B: Polym. Phys. 2014, 52, 36−45. (26) Paik, M. Y.; Bosworth, J. K.; Smilges, D. M.; Schwartz, E. L.; Andre, X.; Ober, C. K. Reversible Morphology Control in Block Copolymer Films via Solvent Vapor Processing: An in Situ GISAXS Study. Macromolecules 2010, 43, 4253−4260.

(27) Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Elam, J. W.; Darling, S. B. Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis. J. Phys. Chem. C 2011, 115, 17725−17729. (28) Ruiz, R.; Wan, L.; Lille, J.; Patel, K. C.; Dobisz, E.; Johnston, D. E.; Kisslinger, K.; Black, C. T. Image Quality and Pattern Transfer in Directed Self Assembly with Block-Selective Atomic Layer Deposition. J. Vac. Sci. Technol., B 2012, 30, 06F202. (29) Milner, S. T. Chain Architecture and Asymmetry in Copolymer Microphases. Macromolecules 1994, 27, 2333−2335. (30) Helfand, E.; Wasserman, Z. R. Block Copolymer Theory 0.4. Narrow Interphase Approximation. Macromolecules 1976, 9, 879−888. (31) Dai, K. H.; Kramer, E. J. Determining the TemperatureDependent Flory Interaction Parameter for Strongly Immiscible Polymers from Block-Copolymer Segregation Measurements. Polymer 1994, 35, 157−161. (32) Hur, S.-M.; Khaira, G. S.; Ramírez-Hernández, A.; Müller, M.; Nealey, P. F.; de Pablo, J. J. Simulation of Defect Reduction in Block Copolymer Thin Films by Solvent Annealing. ACS Macro Lett. 2015, 4, 11−15. (33) Lodge, T. P.; Pan, C.; Jin, X.; Liu, Z.; Zhao, J.; Maurer, W. W.; Bates, F. S. Failure of the Dilution Approximation in Block-Copolymer Solutions. J. Polym. Sci., Part B: Polym. Phys. 1995, 33, 2289−2293. (34) Bates, F. S.; Fredrickson, G. H. Block Copolymer Thermodynamics - Theory and Experiment. Annu. Rev. Phys. Chem. 1990, 41, 525−557. (35) Gu, X. D.; Gunkel, I.; Hexemer, A.; Gu, W. Y.; Russell, T. P. An in Situ Grazing Incidence X-Ray Scatterings Study of Block Copolymer Thin Films During Solvent Vapor Annealing. Adv. Mater. 2014, 26, 273−281. (36) Matsen, M. W.; Thompson, R. B. Equilibrium Behavior of Symmetric Aba Triblock Copolymer Melts. J. Chem. Phys. 1999, 111, 7139−7146. (37) Matsen, M. W.; Schick, M. Lamellar Phase of a Symmetrical Triblock Copolymer. Macromolecules 1994, 27, 187−192. (38) Fredrickson, G. H.; Helfand, E. Fluctuation Effects in the Theory of Microphase Separation in Block Copolymers. J. Chem. Phys. 1987, 87, 697−705. (39) Balsara, N. P.; Perahia, D.; Safinya, C. R.; Tirrell, M.; Lodge, T. P. Birefringence Detection of the Order-to-Disorder Transition in Block Copolymer Liquids. Macromolecules 1992, 25, 3896−3901. (40) Bates, F. S.; Rosedale, J. H.; Fredrickson, G. H. Fluctuation Effects in a Symmetric Diblock Copolymer near the Order-Disorder Transition. J. Chem. Phys. 1990, 92, 6255−6270. (41) Fredrickson, G. H.; Leibler, L. Theory of Block Copolymer Solutions - Nonselective Good Solvents. Macromolecules 1989, 22, 1238−1250. (42) Schulz, M. F.; Khandpur, A. K.; Bates, F. S.; Almdal, K.; Mortensen, K.; Hajduk, D. A.; Gruner, S. M. Phase Behavior of Polystyrene-Poly(2-Vinylpyridine) Diblock Copolymers. Macromolecules 1996, 29, 2857−2867. (43) Lodge, T. P.; Hanley, K. J.; Pudil, B.; Alahapperuma, V. Phase Behavior of Block Copolymers in a Neutral Solvent. Macromolecules 2003, 36, 816−822. (44) Mori, K.; Hasegawa, H.; Hashimoto, T. Order-Disorder Transition of Polystyrene-Block-Polyisoprene Part Ii. Characteristic Length as a Function of Polymer Concentration, Molecular Weight, Copolymer Composition, and Chi Parameter. Polymer 2001, 42, 3009−3021. (45) Edwards, E. W.; Muller, M.; Stoykovich, M. P.; Solak, H. H.; de Pablo, J. J.; Nealey, P. F. Dimensions and Shapes of Block Copolymer Domains Assembled on Lithographically Defined Chemically Patterned Substrates. Macromolecules 2007, 40, 90−96. (46) Delgadillo, P. A. R.; Gronheid, R.; Thode, C. J.; Wu, H. P.; Cao, Y.; Lin, G. Y.; Somervell, M.; Nafus, K.; Nealey, P. F. Geometric Control of Chemically Nano-Patterned Substrates for Feature Multiplication Using Directed Self-Assembly of Block Copolymers. J. Photopolym. Sci. Technol. 2012, 25, 77−81. (47) Cushen, J.; Wan, L.; Blachut, G.; Maher, M. J.; Albrecht, T. R.; Ellison, C. J.; Willson, C. G.; Ruiz, R. Double-Patterned Sidewall 7864

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865

Article

ACS Nano Directed Self-Assembly and Pattern Transfer of Sub-10-nm PTMSS-BPMOST. ACS Appl. Mater. Interfaces 2015, 7, 13476−13483. (48) Williamson, L. D.; Seidel, R. N.; Chen, X.; Suh, H. S.; Rincon Delgadillo, P.; Gronheid, R.; Nealey, P. F. Three-Tone Chemical Patterns for Block Copolymer Directed Self-Assembly. ACS Appl. Mater. Interfaces 2016, 8, 2704−12. (49) Read, D. T.; Dally, J. W. Theory of Electron Beam Moire. J. Res. Natl. Inst. Stand. Technol. 1996, 101, 47−61. (50) Edwards, E. W.; Montague, M. F.; Solak, H. H.; Hawker, C. J.; Nealey, P. F. Precise Control over Molecular Dimensions of BlockCopolymer Domains Using the Interfacial Energy of Chemically Nanopatterned Substrates. Adv. Mater. 2004, 16, 1315−1319. (51) Wan, L.; Ji, S.; Liu, C.-C.; Craig, G. S. W.; Nealey, P. F. Directed Self-Assembly of Solvent-Vapor-Induced Non-Bulk Block Copolymer Morphologies on Nanopatterned Substrates. Soft Matter 2016, 12, 2914−2922. (52) Liu, C. C.; Ramirez-Hernandez, A.; Han, E.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Kang, H. M.; Ji, S. X.; Gopalan, P.; de Pablo, J. J.; Nealey, P. F. Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features. Macromolecules 2013, 46, 1415−1424.

7865

DOI: 10.1021/acsnano.6b03667 ACS Nano 2016, 10, 7855−7865