Effect of O3 on Growth of Pt by Atomic Layer Deposition - American

Jun 2, 2014 - ... Stanford University, Stanford, California 94305, United States. ‡. Department of Materials Science and Engineering, Incheon Nation...
0 downloads 0 Views 1MB Size
Article pubs.acs.org/JPCC

Effect of O3 on Growth of Pt by Atomic Layer Deposition Han-Bo-Ram Lee,†,‡ Katie L. Pickrahn,† and Stacey F. Bent*,† †

Department of Chemical Engineering, Stanford University, Stanford, California 94305, United States Department of Materials Science and Engineering, Incheon National University, Incheon, Korea



S Supporting Information *

ABSTRACT: The growth characteristics of Pt deposited by atomic layer deposition (ALD) with methylcyclopentadienyltrimethylplatinum (MeCpPtMe3) and O3 are studied both experimentally and by modeling. The growth rate of Pt ALD using O3 is higher than that using either air or O2 counter reactants. In addition, a low deposition temperature of 150 °C for the deposition of metallic Pt using O3 is obtained. To investigate the role of O3 during initial growth, Pt is deposited on O3-pretreated SiO2 using air as the counter reactant. Pt deposited in this way on O3-pretreated SiO2 shows a rapid increase of surface coverage, which is similar to Pt ALD using O3 and different from Pt ALD using air on untreated SiO2. From the modeling study, it is found that pretreating the surface with O3 increases the steady state nucleation rate and decreases the nucleation incubation time on the SiO2 surface, the same phenomena which are believed to occur during the initial growth of ALD Pt using O3 counter reactant.



INTRODUCTION The ability to deposit continuous films of metals is important for many electronic and optoelectronic applications because electrical conductors in these technologies are usually made from metal films. To achieve higher capacity and better device performance, devices are evolving to ever-smaller sizes and from planar 2-D structures to complex 3-D structures. As a result, it is necessary to improve techniques that allow the deposition of metal films more thinly and conformally inside 3D structures while still preserving their electrical continuity. For instance, according to the International Technology Roadmap for Semiconductors (ITRS) 2011 Edition,1 barrier/seed layers for Cu interconnects in devices beyond 2015 are required to be conformal and continuous at thicknesses of less than 2 nm. In the field of catalysis, deposition of continuous metal films of minimal thickness is desired in some applications to increase the mass activity of the catalyst and to minimize catalyst loading.2,3 Atomic layer deposition (ALD) is a technique well suited for metal deposition on 3-D structures because it has high conformality and precise thickness control, the combination of which is not as readily achieved in conventional methods such as physical vapor deposition.4,5 During the initial growth stage of ALD, however, there are deviations from ideal growth, such as nucleation delay or island growth, that can have deleterious effects on the conformality of the very thinnest films.6−10 These deviations are more frequently observed in metal ALD upon a metal oxide surface than in oxide ALD because metal−metal oxide interactions are weaker than those of metal−metal. Because of the weak interactions, metals show lower wettability on metal oxide surfaces than on metal surfaces, leading to more facile agglomeration into metal islands. When the desired film thickness is in the few © 2014 American Chemical Society

nanometer range, in which the deviations dominate, it can be difficult to control the film thickness and obtain a continuous film. Because ALD is a surface-sensitive deposition method, counter reactants with high reactivity, such as plasma-generated radicals or O3, may potentially be used to mitigate the discontinuity in the thinnest films.11−18 Highly reactive counter reactants can change the surface properties after each exposure and increase reaction rates with the precursors, possibly lessening the nucleation delay and minimizing contributions from an island growth mechanism. O3 has been used as a counter reactant14−19 and as an agent for surface pretreatment12 for several metal ALD systems, such as Ir, Rh, Ru, and Pt. Compared to O2, which is more commonly used for a counter reactant in noble metal ALD, O3 has shown a higher growth rate and a lower minimum deposition temperature, both indicative of a higher reactivity of O3. The Leskela group has explored noble metal ALD processes using O3, and has shown the feasibility of the same ALD precursor to deposit both metal and metal oxide films over a wide temperature range.14−18 However, many questions still remain about the effects of O3 on the nucleation, nucleation delay, and film continuity. Among the noble metals, Pt is widely used for many applications, such as fuel cells,6,20−23 photovoltaics,24 chemical sensors,25 and metal gate layers.26,27 Since the first report was made of Pt ALD using the Pt precursor methylcyclopentadienyltrimethylplatinum (MeCpPtMe3) and O2 counter reactant, it has been widely studied for various applications.28−32 This Pt Received: March 15, 2014 Revised: May 9, 2014 Published: June 2, 2014 12325

dx.doi.org/10.1021/jp502596n | J. Phys. Chem. C 2014, 118, 12325−12332

The Journal of Physical Chemistry C

Article

Figure 1. (a) Thickness versus substrate temperature; (b) growth rate versus precursor exposure time (ts); and (c) growth rate versus counter reactant exposure time (tr) for Pt ALD using air, O2 or O3 counter reactants. 400 ALD cycles were carried out.

properties, they did not investigate the effects of O3, and questions still remain about the different growth characteristics of Pt ALD on a Pt seed layer surface and an SiO2 surface.37 In the current study, we investigate the growth characteristics of Pt ALD using O3 as a counter reactant. To understand the effects of O3 on the surface reaction, we compare Pt ALD on three different surfaces: SiO2, O3-pretreated SiO2, and as-grown Pt. The coverage of Pt on each surface is characterized as a function of cycle number. To quantify our results, an isothermal nucleation model is used to fit the experimental Pt coverage data and obtain nucleation rate and nucleation delay information. In addition, the coverage of Pt as a function of cycle number and deposition temperature is correlated with film resistivity, an important property for the use of Pt as a conductor. Finally, by using O3, we show that pure metallic Pt can be deposited at temperatures down to 150 °C and oxidized Pt can be deposited at temperatures below 150 °C. The results in this study show that O3 affects both the substrate surface properties and the Pt ALD reactions, allowing the deposition of ultrathin and continuous Pt films.

ALD process shows a nucleation delay, motivating researchers to use more reactive counter reactants than O2. Pt ALD was studied using another Pt precursor, Pt(acac)2, and O3 as a counter reactant, and it was shown that Pt and PtOx films could be obtained as a function of deposition temperature.16 Knoops et al. investigated plasma-enhanced ALD of Pt using the MeCpPtMe3 precursor and a remote O2 plasma, and showed that by changing the O2 plasma exposure, either metallic Pt or semiconducting PtOx could be deposited without a nucleation delay.13 Also, this same group developed an alternative way to reduce the nucleation delay by using predeposition of a Pt/C seed layer that was formed by e-beam radiation.33,34 In a subsequent paper, they reported Pt ALD using the MeCpPtMe3 precursor and O3 counter reactant.19 They performed an in situ study on the behavior of O3 on the surface during ALD using quadrupole mass spectroscopy, and reported O3 loss on the asgrown Pt surface. However, they did not conduct detailed experiments on the growth characteristics of ALD, such as growth rate and saturation behavior, and did not investigate the effects of O3 on Pt nucleation and continuity. ALD Pt by using the MeCpPtMe3 precursor and O3 counter reactant was also adopted to deposit Pt nanoparticles on TiO2 powders for catalyst applications, but that work was focused on the formation of Pt nanoparticles and did not provide details about the growth characteristics.35 Meanwhile, a combined reaction process composed of PtOx formation by O3 and reduction to metallic Pt by H2 plasma was introduced for deposition of metallic Pt at temperatures as low as 150 °C.36 Recently, Dendooven et al. published results on ALD Pt with O3 reactant. They investigated growth characteristics of Pt ALD on a sputtered-Pt seed layer to avoid an incubation time, and reported metallic Pt formation down to 100 °C on a SiO2 substrate. Although they studied basic characteristics and film



EXPERIMENTAL DETAILS A custom-made ALD reactor controlled by LabVIEW software was used for the study. A showerhead inlet and vacuum pumping lines were connected to the top and bottom of the chamber, respectively, and the substrate was placed on a 4-in. diameter substrate heater. MeCpPtMe3 was used for the Pt precursor. The Pt precursor was contained in a glass bubbler and its temperature was held at 50 °C to obtain a proper vapor pressure. Air, O2, and O3 were used as counter reactants. Compressed house air was filtered to remove moisture and particles before entry into the chamber, and O2 was supplied from a 99.99% O2 cylinder. O3 was generated in situ during the 12326

dx.doi.org/10.1021/jp502596n | J. Phys. Chem. C 2014, 118, 12325−12332

The Journal of Physical Chemistry C

Article

Figure 2. (a) Apparent coverage and (b) resistivity versus substrate temperature of 400 cycles of Pt ALD using three counter reactants.

is obtained in the temperature region for which thickness is constant using O3 than using air or O2; moreover, deposition was observed down to Ts = 100 °C. The temperature region over which the thickness is nearly unchanged in ALD arises from a combination of effects, including insensitivity of the number of surface adsorption sites to substrate temperature and the presence of self-limiting surface reactions in ALD; this region is typically called an ALD process window.38 Figure 1a shows the ALD process window to occur in the range of Ts = 250−300 °C for all three reactants. It is important to test for saturation behavior within the ALD process window. Figure 1b and c show the Pt growth rates versus exposure times of the precursor (ts) and the counter reactant (tr), respectively, determined at 400 cycles using air and O3 at Ts = 300 °C. The growth rates of deposited Pt using both counter reactants show saturation behavior reached at pulse times (both tr and ts) of 2 s, indicating that within the ALD process window, Pt films are deposited by ALD in a selflimiting surface reaction mode for both reactants. The saturating growth rates are higher using ozone than using air. The physical and electrical continuity of Pt as a function of substrate deposition temperature was analyzed by apparent coverage measurements (Figure 2a) and film resistivity analysis (Figure 2b), respectively. Figure 2a shows plots of the apparent Pt coverages obtained from SEM images (shown in SI Figure S1) of the samples plotted in Figure 1a. The apparent coverages for ALD films deposited using air and O2 counter reactants depend on temperature in a similar manner. Both systems have almost 100% Pt coverage at temperatures above Ts = 250 °C, with the coverage abruptly decreasing below 250 °C. In contrast, for Pt ALD using O3, the apparent coverages are almost 100% over the entire temperature range. It can be seen in Figure 2b that the electrical continuity of the Pt layer from the resistivity measurements is correlated with the physical continuity results determined from the coverage analysis; this is expected because the Pt layer provides the only electrically conductive path on the insulating SiO2 substrate. Resistivities of ALD Pt deposited at temperatures above Ts = 275 °C are below 20 μΩcm, which is close to the value for Pt bulk resistivity (10.5 μΩcm), irrespective of the counter reactant. However, the resistivities of ALD Pt using air and O2 increase to values above 40 μΩcm at 250 °C, and are not measurable within the instrument detection limit at deposition temperatures below 250 °C. Because the Pt layers deposited using air and O2 were not continuous films at temperatures below Ts = 250 °C (SI Figure S1), they were not electrically conductive by 4-point probe measurement. The smaller increase of resistivities right at Ts = 250 °C in air and O2 Pt

ALD process from an O3 generator (IN USA OG 5000 Series) supplied by pure O2 from the cylinder. O3 concentration was routinely set to 11%. N2 was used for the carrier gas and purging gas, and flow rates were controlled by a mass flow controller fixed at 30 sccm for both purposes. The deposition temperature (Ts) for most Pt ALD experiments was 300 °C. Further information on the chamber configurations and Pt ALD process can be found elsewhere.31 Samples of Si(001) covered with native oxide were used for substrates. Si(001) substrates were cleaned by piranha solution prior to ALD to achieve higher nucleation rates of Pt ALD.31 To prepare O3pretreated surfaces, Si(001) substrates were exposed to O3 for 10 min at 300 °C in the reactor before deposition. In addition, Pt surfaces were prepared by depositing ALD Pt with air for 400 cycles at 300 °C. These precoated Pt surfaces were used as a substrates for Pt ALD using O3. Field emission scanning electron microscopy (FE-SEM, FEI Magellan) was used for surface morphology analysis and thickness measurement. The average thickness was obtained from cross-sectional SEM images by measuring thicknesses at more than 5 different spots on a sample, and the standard deviations are presented as error bars. The apparent coverage was computationally calculated using ImageJ software from SEM plan-view images, and the detailed process can be found elsewhere.31 The chemical composition analysis was performed by X-ray photoelectron spectroscopy (XPS, PHI VersaProbe Scanning XPS Microprobe). The microstructure of Pt films was analyzed using X-ray diffraction (XRD, PANalytical X’Pert). Film resistivities were measured using a four-point probe system.



RESULTS AND DISCUSSION Figure 1a compares Pt film thickness versus substrate temperature following 400 cycles of ALD using three different counter reactants: air, O2, and O3. The exposure times for the precursor and counter reactants were fixed at 2 s. Pt ALD using both the air and O2 counter reactants show a similar trend in thickness versus temperature. For both systems, thicknesses are almost constant (∼ 200 Å) between Ts = 250 and 300 °C, decrease below 250 °C, and increase above 300 °C. Although Pt deposition was observed at Ts as low as 200 °C, the thickness was not measurable by SEM for films grown below 225 °C because the Pt layer was composed of noncontinuous small particles instead of a continuous film (see Supporting Information (SI) Figure S1). The general trends observed in Figure 1a for growth of Pt ALD using O3 are analogous to those using air and O2. However, a larger thickness (∼ 280 Å) 12327

dx.doi.org/10.1021/jp502596n | J. Phys. Chem. C 2014, 118, 12325−12332

The Journal of Physical Chemistry C

Article

Figure 3. XPS spectra of Pt ALD using O3 at Ts = (a) 300, (b) 150, and (c) 100 °C in the Pt (4f) core-level energy range, and (d) O (1s) and (e) C (1s) core-level energy ranges. (f) XRD patterns of Pt ALD using O3 at Ts = 300, 150, and 100 °C. The strong Si(002) peak, seen in the 300 °C sample, is a forbidden diffraction peak and its intensity is a function of the lateral orientation of the specimen.

°C and increase to 40 μΩcm only at 150 °C. Interestingly, the resistivity of Pt at 100 °C was too large to be measured, even though the coverage was 100%. Therefore, the chemical composition of the films was analyzed for greater insight into this trend. Three samples deposited using MeCpPtMe3 and O3 at 300, 150, and 100 °C were analyzed by XPS. The XPS spectra of the ALD Pt deposited at Ts = 300 and 150 °C were readily deconvoluted into two peaks in the Pt core-level energy region in Figure 3a and b, respectively. The two peak positions are 70.9 and 74.2 eV, which correspond to metallic Pt 4f7/2 and 4f5/2 peaks, respectively. On the other hand, at Ts = 100 °C, the core Pt spectra contain overlapped doublet peaks as shown in Figure 3c. The peak positions of the two deconvoluted Pt 4f7/2 peaks are 70.9 and 72.3 eV which are coincident with Pt metal and PtOx, respectively.39,40 Consistently, a large oxygen peak was observed from Pt deposited at Ts = 100 °C, corresponding

ALD may be due to impurities in the Pt arising from incomplete reaction at the lower temperatures. Interestingly, there was no significant difference in thickness (Figure 1a), coverage (Figure 2a), or resistivity (Figure 2b) between the Pt films deposited using air and O2 as counter reactant over the temperature range studied. Because the air used for this study was dry and N2 is not chemically reactive, the air source is expected to act the same as pure O2 in Pt ALD. However, the coverage of Pt using air is somewhat smaller than that using O2 at the lowest temperatures for which the data was obtainable (i.e., outside the ALD window) (Figure 2a and SI Figure S1). This difference is not picked up in the thickness data, perhaps because the thickness measurement method involves an averaging of Pt heights measured at different spots from cross-sectional SEM images. On the other hand, the behavior is significantly different with O3. In Pt ALD using O3, resistivities remain under 20 μΩcm at all temperatures studied above 200 12328

dx.doi.org/10.1021/jp502596n | J. Phys. Chem. C 2014, 118, 12325−12332

The Journal of Physical Chemistry C

Article

Figure 4. Apparent coverage versus ALD cycle number of ALD Pt using (a) air on SiO2, (b) air on O3-pretreated SiO2, and (c) O3 on SiO2. Solid lines are fitting results from the nucleation model.

to Pt−O.41 In contrast, the intensity of the oxygen signal in Pt deposited at the higher temperatures was very small as shown in Figure 3d. In addition, the intensity of carbon, which is a potential contaminant from incomplete reduction of the Pt precursor, is very small in Figure 3e at all three temperatures. Therefore, the ALD process using MeCpPtMe 3 and O3 deposits PtOx at Ts = 100 °C and metallic Pt at substrate temperatures over 100 °C. The very high resistivity (out of the detection range in Figure 2b) of ALD Pt deposited at 100 °C is attributed to the formation of PtOx since the resistivities of PtO and PtO2 are as high as 105 μΩcm.42 The results of microstructure analysis by XRD also show a metallic Pt(111) diffraction peak only from ALD Pt deposited at temperatures above 100 °C, as shown in Figure 3f. The intensity of the Pt(111) peak at 300 °C is stronger than that at 150 °C due to the greater film thickness. The PtOx deposited at 100 °C does not show any diffraction peaks, indicating that it has an amorphous microstructure. Therefore, ALD Pt deposited above 150 °C is metallic, and the larger film thickness of ALD Pt using O3 than that using air or O2 at the higher temperatures is solely attributed to a greater number of adsorption sites caused by the effects of O3 (vide infra). In a recent paper on Pt ALD using O3, the investigators reported the formation of metallic Pt at temperatures as low as 100 °C, which differs from our result.37 On the other hand, the transition temperature that we observe, 150 °C, has often been reported as the lowest substrate temperature for which the metallic phase could be obtained in ALD of noble metals including Pt, Ir, and Rh.14−18,36 In our work, oxygen content is clearly detected below 150 °C in the ALD Pt film, and the amount of oxygen increases with decreasing substrate temperature, indicating that the residual oxygen from incomplete reaction changes as a function of temperature (see SI Figure S2). On the basis of a comparison of the growth rates between ref 37 and our work, we speculate that the difference in the metal−metal oxide transition temperature observed in the two studies may arise from deviations between the real substrate temperature and the measured temperature in the literature report.

The effects of air and O3 reactants on the original SiO2 surface were investigated by examining the trends in Pt coverage versus ALD cycle under different experimental conditions. Figure 4 shows data for apparent coverage versus the number of ALD cycles for three different systems, taken from SEM images in SI Figure S3. Figure 4a and c were obtained from Pt ALD using air and O3 reactants, respectively, and Figure 4b shows the apparent coverage of Pt ALD using air on O3-pretreated SiO2 surfaces. According to Figure 4a, the apparent coverage of ALD Pt using air increases until 400 cycles, then reaches 100%. Interestingly, although Pt ALD was deposited by the same air counter reactant, the apparent coverage for the O3-pretreated sample in Figure 4b shows a significantly different trend than that in Figure 4a. The coverage increases more rapidly and reaches a plateau at around 200 cycles. The coverage change in Pt ALD using air on the O3pretreated surface looks more similar to that deposited using O3 (Figure 4c) than that using air. ALD Pt using O3 also reached 100% coverage by 200 ALD cycles. For a more quantitative study, an isothermal nucleation and growth model, which was developed for Pt nanoparticle research in a previous report, was applied to the data in Figure 4.32 In the model, the Pt nuclei are assumed to take a hemispherical shape, and three different nucleation behaviors constant nucleation, nucleation at preferred sites, and nucleation with incubation timeare considered. Both the total volume of all Pt nuclei, and the total area covered by Pt nuclei which can be translated to Pt surface coverage, can be calculated after a specific number of ALD cycles using the isothermal model.32 We employed the model based on nucleation incubation in the current study. This model includes two time variables, t and τ, in which t is assigned to the total number of ALD cycles and τ is the time at which individual nuclei nucleate (i.e., at t = τ). As described in ref 32, to obtain the surface coverage of Pt, the equation given by A = 1 − exp( −A 0 A0 12329

∫0

t

I0e−τI / τ π (v(t − τ ))2 dτ )

(1)

dx.doi.org/10.1021/jp502596n | J. Phys. Chem. C 2014, 118, 12325−12332

The Journal of Physical Chemistry C

Article

is used. Here, A and Ao are the area covered by Pt and the total area of the substrate, respectively, so the left term is the surface coverage of Pt. In this equation, v is the growth rate defined by thickness per ALD cycle, and I0 and τI are the steady-state nucleation rate and the nucleation incubation time, respectively. More information on the model and the method of fitting can be found in the previous report.32 To reduce the number of variables in the fit, the growth rates, v, were set equal to the values obtained from the linear fitting of SEM thicknesses, i.e. 0.5 and 0.7 Å/cycle for Pt ALD using air and O3 counter reactants, respectively. The other two variables, I0 and τI, were simultaneously changed during fitting. All the experimental data are shown as circles and the fitting results are presented as solid lines in Figure 4. An excellent fit was achieved using this model with high goodness of fit values (air: 0.98; air on O3-pretreated surface: 0.99; O3: 0.99). The parameters obtained from these fittings are presented in Table 1.

collected as a function of ALD cycle number for these two systems involving O3 compared to that with O2 (SI Figure S3). The differences between nucleation incubation times, τI, are also informative. A nucleation incubation time is defined as the time required for the formation of Pt nuclei. In this case, the incubation time may be interpreted as a time required for formation of surface species that will lead to Pt nucleation. If precursor adsorption and reaction require more active surface sites than those present on the original surface, a larger number of ALD cycles may be needed to change the surface via precursor exposure. Therefore, the data indicate that O3 strongly affects the surface species, in this case, toward fast nucleation of Pt. In fact, the previous mechanistic studies on Pt ALD nucleation and growth showed that surface oxygen plays a key role for Pt nucleation and growth.28,30 During the precursor pulse, the Pt precursor adsorbs on the surface through partial reduction by surface oxygen, and during the subsequent oxygen gas pulse, the adsorbed Pt precursor is oxidatively decomposed and surface oxygen is reformed. In the previous reports, O3 affects the SiO2 surface in several ways, such as increasing oxide density, removing carbon impurities, and forming surface oxygen.43−45 Those effects convert SiO2 into a more oxygenrich surface, leading to fast Pt nucleation. The steady state nucleation rate and incubation time extracted from the modeling are only related to the effects of O3 on the starting SiO2 surface. Once the initial SiO2 surface is covered with Pt, O3 interacts with a Pt surface. The effects of O3 on reactions at the Pt surface were studied from data of thickness versus ALD cycle number, shown in Figure 5. Figure

Table 1. Fitting Parameters

air counter reactant on SiO2 air counter reactant on O3-pretreated SiO2 O3 counter reactant on SiO2

I0 (no./cycle·nm2)

τI (cycles)

0.56 × 10−4 2.5 × 10−4 0.80 × 10−4

21 0 0

The data in Table 1 indicate that the steady-state nucleation rate (I0) of Pt ALD using air on the O3-pretreated surface is 4.5 times larger than I0 using air on a nontreated surface. For the O3 counter reactant, the steady-state nucleation rate was 1.4 times larger than that using air on an untreated surface. It is interesting that I0 is larger when O3 is used to pretreat the surface than when it is used throughout the entire growth process, but we note that this is likely due to the longer exposure time of O3 during pretreatment. During Pt ALD, the original SiO2 was not continuously exposed to O3 since the grown-Pt, as shown in the coverage results in Figure 4, blocks the interaction between O3 and SiO2. So, the 10 min of O3 pretreatment is longer than the total exposure time of O3 to the SiO2 surface for 300 cycles during Pt ALD. In addition to the steady state nucleation rate I0, the nucleation incubation time, τI, shown in Table 1 also contains information about the ALD process under different conditions. The nucleation incubation time of Pt ALD using air is 21 cycles, whereas there was no incubation time for either the O3pretreated surface with air or on SiO2 with O3 counter reactant. As used in eq 1, the nucleation rate is defined by I(τ ) = I0e−τI / τ

Figure 5. Thickness versus ALD cycle number of Pt ALD using air on SiO2, air on O3-pretreated SiO2, and O3 on SiO2 surface.

(2)

5 shows a plot of Pt thickness versus ALD cycle number using air on an O3-pretreated surface, with plots of Pt ALD growth using air and O3 on SiO2 included for comparison. The growth rates of Pt ALD using O3 on SiO2 and Pt ALD using air on O3pretreated SiO2 are 0.7 and 0.5 Å/cycle, respectively, with the difference in growth rates continuing for at least the 400 cycles measured. Since the initial SiO2 surfaces were fully covered with Pt after 200 cycles in both O3 on SiO2 and air on O3-pretreated SiO2 systems as shown in Figure 4, it is likely that the higher growth rate of Pt ALD using O3 must be attributed in part to effects of O3 on the Pt surface. In other words, the data show that O3 still affects reactions of ALD Pt on the as grown-Pt surface. This observation differs from that of previous reports in which O3 was decomposed on the as grown-Pt surface into O2, resulting in loss of its high reactivity.19

So, if the nucleation incubation time is zero, the nucleation rate over the ALD process is the same as the steady-state nucleation rate, I0. If a nucleation incubation exists, the nucleation rate is always lower than I0. We note that although a nucleation incubation time of zero means that nucleation occurs from the first cycle, it does not imply that the thickness or coverage rises linearly from the first cycle. Rather, as shown in Figure 4c, an apparent nucleation delay can be observed, reflecting the formation and eventual coalescence of islands. Compared to Pt ALD using air, the O3 reactant sample and O3 pretreated sample show larger I0 values, indicating that O3 induces an increase in the number of nucleation sites, namely adsorption sites. This should be observable microscopically, and indeed, the larger number of Pt nuclei can be seen in SEM images 12330

dx.doi.org/10.1021/jp502596n | J. Phys. Chem. C 2014, 118, 12325−12332

The Journal of Physical Chemistry C

Article

Notes

The growth rate (0.5 Å/cycle) of Pt on the O3-pretreated surface with air is the same as that of Pt (0.5 Å/cycle) using air on SiO2. The main difference is that Pt ALD using air exhibits a nucleation delay, whereas the Pt thicknesses for the O3pretreated samples are shifted to earlier cycle numbers compared to those on SiO2. This can be ascribed to the effects of O3 on the initial SiO2 surface. Because of the effects of O3, Pt nucleates rapidly on the O3-pretreated SiO2 with no nucleation incubation time. Once the O3-pretreated SiO2 surface is covered with Pt, the growth is identical to that on the nontreated SiO2. Therefore, the plot of thickness exhibits a parallel shift. In general, the results show that O3 changes the surface properties of SiO2 during the initial growth stage in two ways: an increase in steady state nucleation rate and a decrease in nucleation incubation time. Because O3 quickly converts SiO2 into a surface that is active for Pt nucleation, Pt can nucleate without an incubation delay and the number of Pt nuclei increases according to the steady state nucleation rate. Because the number of adsorption sites in Pt ALD using O3 is larger than that using air, the number of nuclei in O3 ALD is also larger, leading to an increase in the steady state nucleation rate. In addition, O3 has an effect on the steady state growth during ALD (i.e., at higher cycle numbers). Although O3 may be reduced somewhat when ALD takes place on a Pt surface due to catalytic deactivation of the O3, the effect of O3 still contributes to higher growth rate of Pt compared to the process with air or O2.

The authors declare no competing financial interest.



ACKNOWLEDGMENTS This work was supported by the U.S. Department of Energy Hydrogen, Fuel Cells, and Infrastructure Program through the National Renewable Energy Laboratory under Contract DEAC36-08-GO28308. The modeling and analysis of the nucleation mechanisms were supported by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Award DE-SC0004782.



(1) ITRS. International Technology Roadmap for Semiconductors; 2011. (2) Mathias, M. F.; Makharia, R.; Gasteiger, H. A. Two Fuel Cell Cars in Every Garage? Interface 2005, 14, 24−35. (3) Takahashi, I.; Kocha, S. S. Examination of the Activity and Durability of PEMFC Catalysts in Liquid Electrolytes. J. Power Sources 2010, 195, 6312−6322. (4) George, S. M. Atomic Layer Deposition: An Overview. Chem. Rev. 2009, 110, 111−131. (5) Kim, H.; Lee, H.-B.-R.; Maeng, W. Applications of Atomic Layer Deposition to Nanofabrication and Emerging Nanodevices. Thin Solid Films 2009, 517, 2563−2580. (6) Christensen, S.; Feng, H.; Libera, J.; Guo, N.; Miller, J.; Stair, P.; Elam, J. Supported Ru-Pt Bimetallic Nanoparticle Catalysts Prepared by Atomic Layer Deposition. Nano Lett. 2010, 10, 3047−3051. (7) Heo, J.; Eom, D.; Lee, S. Y.; Won, S.-J.; Park, S.; Hwang, C. S.; Kim, H. J. Atomic Layer Deposition of Ruthenium Nanoparticles Using a Low-Density Dielectric Film as Template Structure. Chem. Mater. 2009, 21, 4006−4011. (8) Lee, H.-B.-R.; Kim, H. Self-formation of Dielectric Layer Containing CoSi2 Nanocrystals by Plasma-Enhanced Atomic Layer Deposition. J. Cryst. Growth 2010, 312, 2215−2219. (9) Liu, C.; Wang, C.-C.; Kei, C.-C.; Hsueh, Y.-C.; Perng, T.-P. Atomic Layer Deposition of Platinum Nanoparticles on Carbon Nanotubes for Application in Proton-Exchange Membrane Fuel Cells. Small 2009, 5, 1535−1538. (10) Lu, J.; Stair, P. C. Nano/Subnanometer Pd Nanoparticles on Oxide Supports Synthesized by AB-type and Low-Temperature ABCtype Atomic Layer Deposition: Growth and Morphology. Langmuir 2010, 26, 16486−16495. (11) Baker, L.; Cavanagh, A. S.; Seghete, D.; George, S. M.; Mackus, A. J. M.; Kessels, W. M. M.; Liu, Z. Y.; Wagner, F. T. Nucleation and Growth of Pt Atomic Layer Deposition on Al2O3 Substrates Using (Methylcyclopentadienyl)-Trimethyl Platinum and O2 Plasma. J. Appl. Phys. 2011, 109, 084333. (12) Heo, J.; Lee, S. Y.; Eom, D.; Hwang, C. S.; Kim, H. Enhanced Nucleation Behavior of Atomic-Layer-Deposited Ru Film on Low-k Dielectrics Afforded by UV-O3 Treatment. Electrochem. Solid-State Lett. 2008, 11, G5−G8. (13) Knoops, H. C. M.; Mackus, A. J. M.; Donders, M. E.; van de Sanden, M. C. M.; Notten, P. H. L.; Kessels, W. M. M. Remote Plasma ALD of Platinum and Platinum Oxide Films. Electrochem. Solid-State Lett. 2009, 12, G34−G36. (14) Hämäläinen, J.; Hatanpäa,̈ T.; Puukilainen, E.; Sajavaara, T.; Ritala, M.; Leskelä, M. Iridium metal and iridium oxide thin films grown by atomic layer deposition at low temperatures. J. Mater. Chem. 2011, 21, 16488−16493. (15) Hämäläinen, J.; Kemell, M.; Munnik, F.; Kreissig, U.; Ritala, M.; Leskelä, M. Atomic Layer Deposition of Iridium Oxide Thin Films from Ir(acac)3 and Ozone. Chem. Mater. 2008, 20, 2903−2907. (16) Hämäläinen, J.; Munnik, F.; Ritala, M.; Leskelä, M. Atomic Layer Deposition of Platinum Oxide and Metallic Platinum Thin Films from Pt(acac)2 and Ozone. Chem. Mater. 2008, 20, 6840−6846. (17) Hämäläinen, J.; Munnik, F.; Ritala, M.; Leskelä, M. Study on Atomic Layer Deposition of Amorphous Rhodium Oxide Thin Films. J. Electrochem. Soc. 2009, 156, D418−D423.



CONCLUSIONS The growth characteristics of ALD Pt deposited using MeCpPtMe3 precursor and O3 counter reactant were studied with experimental and modeling methods. Compared to growth rates for Pt ALD using air and O2 (0.5 Å/cycle), ALD Pt using O3 showed a higher growth rate (0.7 Å/cycle) in the ALD process window. Pt ALD using air on an O3-pretreated surface showed almost the same growth rate as Pt ALD using O3, but was different from Pt ALD using air without a surface pretreatment. Fitting results showed that O3 increases the steady state nucleation rate and decreases the nucleation incubation time on the original SiO2 surface. In addition, O3 allowed film deposition down to 100 °C. From XPS and XRD results, it was found that metallic films could be obtained at substrate temperatures of 150 °C or above, and that partially oxidized Pt with an amorphous microstructure was deposited at 100 °C. These results provide insight into the initial growth during metal ALD using an O3 counter reactant and are potentially useful in the fabrication of ultrathin metal films in a wide variety of applications.



ASSOCIATED CONTENT

S Supporting Information *

SEM images of 400 cycles Pt ALD using air, O2, and O3 at various substrate temperatures; the ratio of oxygen content to Pt content versus the substrate temperature; and SEM images of Pt ALD using air on SiO2, O3 on SiO2, and air on O3pretreated SiO2 at Ts = 300 °C. This material is available free of charge via the Internet at http://pubs.acs.org



REFERENCES

AUTHOR INFORMATION

Corresponding Author

*E-mail: [email protected]; tel: +1-650-723-0410; fax: +1650-723-9780. 12331

dx.doi.org/10.1021/jp502596n | J. Phys. Chem. C 2014, 118, 12325−12332

The Journal of Physical Chemistry C

Article

(18) Hämäläinen, J.; Puukilainen, E.; Kemell, M.; Costelle, L.; Ritala, M.; Leskelä, M. Atomic Layer Deposition of Iridium Thin Films by Consecutive Oxidation and Reduction Steps. Chem. Mater. 2009, 21, 4868−4872. (19) Knoops, H. C. M.; Elam, J. W.; Libera, J. A.; Kessels, W. M. M. Surface Loss in Ozone-Based Atomic Layer Deposition Processes. Chem. Mater. 2011, 23, 2381−2387. (20) Christensen, S. T.; Elam, J. W.; Rabuffetti, F. A.; Ma, Q.; Weigand, S. J.; Lee, B.; Seifert, S.; Stair, P. C.; Poeppelmeier, K. R.; Hersam, M. C.; et al. Controlled Growth of Platinum Nanoparticles on Strontium Titanate Nanocubes by Atomic Layer Deposition. Small 2009, 5, 750−757. (21) Jiang, X.; Chen, R.; Bent, S. Spatial Control Over Atomic Layer Deposition Using Microcontact-Printed Resists. Surf. Coat. Technol. 2007, 201, 8799−8807. (22) Jiang, X.; Gür, T. M.; Prinz, F. B.; Bent, S. F. Atomic Layer Deposition (ALD) Co-Deposited Pt-Ru Binary and Pt Skin Catalysts for Concentrated Methanol Oxidation. Chem. Mater. 2010, 22, 3024− 3032. (23) Shim, J. H.; Jiang, X.; Bent, S. F.; Prinz, F. B. Catalysts with Pt Surface Coating by Atomic Layer Deposition for Solid Oxide Fuel Cells. J. Electrochem. Soc. 2010, 157, B793−B797. (24) Gu, D.; Baumgart, H.; Tapily, K.; Shrestha, P.; Namkoong, G.; Ao, X.; Müller, F. Precise Control of Highly Ordered Arrays of Nested Semiconductor/Metal Nanotubes. Nano Res. 2011, 4, 164−170. (25) Comstock, D. J.; Christensen, S. T.; Elam, J. W.; Pellin, M. J.; Hersam, M. C. Tuning the Composition and Nanostructure of Pt/Ir Films via Anodized Aluminum Oxide Templated Atomic Layer Deposition. Adv. Funct. Mater. 2010, 20, 3099−3105. (26) Henkel, C.; Abermann, S.; Bethge, O.; Bertagnolli, E. Atomic Layer-Deposited Platinum in High-k/Metal Gate Stacks. Semicond. Sci. Technol. 2009, 24, 125013. (27) Henkel, C.; Bethge, O.; Abermann, S.; Puchner, S.; Hutter, H.; Bertagnolli, E. Pt-Assisted Oxidation of (100)-Ge/High-k Interfaces and Improvement of Their Electrical Quality. Appl. Phys. Lett. 2010, 97, 152904. (28) Aaltonen, T.; Rahtu, A.; Ritala, M.; Leskela, M. Reaction Mechanism Studies on Atomic Layer Deposition of Ruthenium and Platinum. Electrochem. Solid-State Lett. 2003, 6, C130−C133. (29) Aaltonen, T.; Ritala, M.; Sajavaara, T.; Keinonen, J.; Leskela, M. Atomic Layer Deposition of Platinum Thin Films. Chem. Mater. 2003, 15, 1924−1928. (30) Kessels, W. M. M.; Knoops, H. C. M.; Dielissen, S. A. F.; Mackus, A. J. M.; van de Sanden, M. C. M. Surface Reactions during Atomic Layer Deposition of Pt Derived from Gas Phase Infrared Spectroscopy. Appl. Phys. Lett. 2009, 95, 13114. (31) Lee, H.-B.-R.; Bent, S. F. Microstructure-Dependent Nucleation in Atomic Layer Deposition of Pt on TiO2. Chem. Mater. 2012, 24, 279−286. (32) Lee, H.-B.-R.; Mullings, M. N.; Jiang, X.; Clemens, B. M.; Bent, S. F. Nucleation-Controlled Growth of Nanoparticles by Atomic Layer Deposition. Chem. Mater. 2012, 24, 4051−4059. (33) Mackus, A. J. M.; Dielissen, S. A. F.; Mulders, J. J. L.; Kessels, W. M. M. Nanopatterning by Direct-Write Atomic Layer Deposition. Nanoscale 2012, 4, 4477−4480. (34) Mackus, A. J. M.; Mulders, J. J. L.; van de Sanden, M. C. M.; Kessels, W. M. M. Local Deposition of High-Purity Pt Nanostructures by Combining Electron Beam Induced Deposition and Atomic Layer Deposition. J. Appl. Phys. 2010, 107, 116102. (35) Goulas, A.; Ruud van Ommen, J. Atomic Layer Deposition of Platinum Clusters on Titania Nanoparticles at Atmospheric Pressure. J. Mater. Chem. A 2013, 1, 4647−4650. (36) Hämäläinen, J.; Puukilainen, E.; Sajavaara, T.; Ritala, M.; Leskelä, M. Low Temperature Atomic Layer Deposition of Noble Metals using Ozone and Molecular Hydrogen as Reactants. Thin Solid Films 2013, 531, 243−250. (37) Dendooven, J.; Ramachandran, R. K.; Devloo-Casier, K.; Rampelberg, G.; Filez, M.; Poelman, H.; Marin, G. B.; Fonda, E.; Detavernier, C. Low-Temperature Atomic Layer Deposition of

Platinum using (Methylcyclopentadienyl)trimethylplatinum and Ozone. J. Phys. Chem. C 2013, 117, 20557−20561. (38) Kim, H. Atomic Layer Deposition of Metal and Nitride Thin Films: Current Research Efforts and Applications for Semiconductor Device Processing. J. Vac. Sci. Technol. 2003, 21, 2231−2261. (39) Hammond, J. S.; Winograd, N. XPS Spectroscopic Study of Potentiostatic and Galvanostatic Oxidation of Pt Electrodes in H2SO4 and HClO4. J. Electroanal. Chem. Interfacial Electrochem. 1977, 78, 55− 69. (40) Ono, L. K.; Croy, J. R.; Heinrich, H.; Roldan Cuenya, B. Oxygen Chemisorption, Formation, and Thermal Stability of Pt Oxides on Pt Nanoparticles Supported on SiO2/Si(001): Size Effects. J. Phys. Chem. C 2011, 115, 16856−16866. (41) Barr, T. L. An ESCA Study of the Termination of the Passivation of Elemental Metals. J. Phys. Chem. 1978, 82, 1801−1810. (42) Abe, Y.; Yanagisawa, H.; Sasaki, K. Preparation of OxygenContaining Pt and Pt Oxide Thin Films by Reactive Sputtering and Their Characterization. Jpn. J. Appl. Phys. 1998, 37, 4482−4486. (43) Zazzera, L. A.; Moulder, J. F. XPS and SIMS Study of Anhydrous HF and UV/Ozone-Modified Silicon(100) Surfaces. J. Electrochem. Soc. 1989, 136, 484−491. (44) Kajihara, K.; Hirano, M.; Uramoto, M.; Morimoto, Y.; Skuja, L.; Hosono, H. Interstitial Oxygen Molecules in Amorphous SiO2. I. Quantitative Concentration Analysis by Thermal Desorption, Infrared Photoluminescence, and Vacuum-Ultraviolet Optical Absorption. J. Appl. Phys. 2005, 98, 013527. (45) Kurokawa, A.; Nakamura, K.; Ichimura, S.; Moon, D. W. Reduction of the Interfacial Si Displacement of Ultrathin SiO2 on Si(100) Formed by Atmospheric-Pressure Ozone. Appl. Phys. Lett. 2000, 76, 493−495.

12332

dx.doi.org/10.1021/jp502596n | J. Phys. Chem. C 2014, 118, 12325−12332