Employing a Bifunctional Molybdate Precursor To Grow the Highly

Mar 28, 2019 - ... University of Singapore , 4 Engineering Drive 3, 117583 , Singapore .... wettability, and reducing free energy for nucleation, the ...
1 downloads 0 Views 1MB Size
Subscriber access provided by UNIV OF NEW ENGLAND ARMIDALE

Functional Nanostructured Materials (including low-D carbon)

Employing a Bifunctional Molybdate Precursor to Grow the Highly Crystalline MoS for High-Performance Field-Effect Transistors 2

Shi Wun Tong, Henry Medina, Wugang Liao, Jing Wu, Wen-Ya Wu, Jianwei Chai, Ming Yang, Anas Abutaha, Shijie Wang, Chunxiang Zhu, Kedar Hippalgaonkar, and Dongzhi Chi ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.9b01444 • Publication Date (Web): 28 Mar 2019 Downloaded from http://pubs.acs.org on March 28, 2019

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Employing a Bifunctional Molybdate Precursor to Grow the Highly Crystalline MoS2 for HighPerformance Field-Effect Transistors Shi Wun Tong1*, Henry Medina1, Wugang Liao2,3, Jing Wu1, Wenya Wu1, Jianwei Chai1, Ming Yang1, Anas Abutaha1, Shijie Wang1, Chunxiang Zhu3, Kedar Hippalgaonkar1 and Dongzhi Chi1*

1 Institute

of Materials Research and Engineering, Agency for Science Technology and Research, 2

Fusionopolis Way, #08-03 Innovis, Singapore 138634 2

College of Electronic Science and Technology, Shenzhen University, Shenzhen, 518060, China

3

Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering

Drive 3, Singapore 117583

Corresponding Authors * E-mail: [email protected] (D.C.) * E-mail: [email protected] (S.W.T.)

KEYWORDS: molybdenum disulfide, molybdate precursor, seed promotor, field effect transistor, thermoelectric

1 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 28

ABSTRACT:

Growth of the large-sized and high-quality MoS2 single crystals for high-performance low-power electronic applications is an important step to pursue. Despite the significant improvement made in minimizing extrinsic MoS2 contact resistance based on interfacial engineering of the devices, the electron mobility of field effect transistors (FETs) made of synthetic monolayer MoS2 is yet far below the expected theoretical values, implying that the MoS2 crystal quality needs to be further improved. Here, we demonstrate that the high-performance two-terminal MoS2 FETs with room-temperature electron mobility up to ~ 90 cm2V-1s-1 based on the sulfurization growth of the bifunctional precursor, sodium molybdate dihydrate. This unique transition-metal precursor, serving as both the crystalline Mo source and seed promotor (sodium), could facilitate the lateral growth of the highly crystalline monolayer MoS2 crystals (edge length up to ~260 µm). Substrate surface treatment with oxygen plasma prior to the deposition of the Mo precursor is fundamental to increase the wettability between the Mo source and the substrate, promoting the thinning and coalescence of the source clusters during the growth of large-sized MoS2 single crystals. The control of growth temperature is also an essential step to grow strictly monolayer MoS2 crystal. A proof-of-concept for thermoelectric device integration utilizing monolayer MoS2 sheds light on its potential in low-voltage and self-powered electronics.

2 ACS Paragon Plus Environment

Page 3 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

1. INTRODUCTION Silicon (Si) based field-effect transistors (FETs) are the prevailing building blocks as pixel switches in flat-panel displays. It is feasible to integrate high performance FETs with 50-90 nm thick Si (field-effect mobility of >150 cm2V-1s-1 and ON/OFF ratio of 106)1,2 into driver circuits for high-resolution displays. The short-channel effect, however, are severe in the scaled-down Si FETs that hampers the utility of few-nanometer-thick Si channel transistor in flexible electronics.3 Atomically thin monolayer molybdenum disulphide (MoS2), one of the most widely studied layer-stuctured semiconducting transition metal dichalcogenides (TMDs), has emerged as a potential channel material in the low-power downscaled digital electronic devices owing to its favourable physical and electronic properties, including its high theoretical electron mobility e (e ~ 410 cm2V-1s-1 was calculated for monolayer MoS2)4, relatively large bandgap of ~ 1.8 eV 5,6 (responsible for a high ON/OFF current ratio of ~108 achieved in exfoliated MoS2-FETs),7 immunity to short channel effect (due to atomically thin nature),8,9 dangling-bond-free surface (implying reduced surface/interface scattering),9,10 large effective mass of electrons (suppressing source to drain tunnelling),11,12 ability for layer transfer to arbitrary substrates (enabling 3D stacked integration)13,14 and spin–valley locking (due to broken inversion symmetry together with strong spin-orbital coupling).15,16 However, recent studies show that the electronic properties of MoS2 are substantially hindered by carrier scattering effect along the grain boundaries.17 The experimentally measured field effect mobility and ON/OFF ratio of MoS2 monolayer are typically limited in a range of 8-63 cm2V-1s-1 and 106 (Table S1 and S2) with the experimental mobility being far below the theoretical prediction and thus limits its potential application. As the grain boundaries of continuous MoS2 monolayer are formed by the coalescence of the originally separated crystal domains, it is imperative to develop a reliable synthesis method of large-sized individual MoS2 single crystal domains to suppress or even eliminate grain boundary scattering and consequently improve carrier mobility. Chemical vapor deposition (CVD) based on sulfurization of either vapor-phase or solid-phase molybdenum-based (Mo-based) precursor is the predominant method to produce scalable MoS2 with high yield.17-19 One strategy to grow large-sized TMD single crystals in CVD growth is to add extrinsic seed promotors to promote the lateral growth.20-25 Perylene-3,4,9,10-tetracarboxylic 3 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 28

acid tetrapotassium salt (PTAS),21,24 alkali metal salts,23 perylene-3,4,9,10-tetracarboxylic dianhydride (PTCDA)24 and reduced graphene oxide (r-GO)24 have been applied as the effective seed catalysts enabling the growth of large-sized MoS2 single crystals. By reducing the nucleation density;22,23 improving substrate wettability and reducing free energy for nucleation, the edge length of MoS2 domain can be extended to 200 m.21 Despite these endeavors, the electronic properties of MoS2 grown using seed-promotors are not yet well investigated that urges the need for thorough explorations. In this regard, it needs to be noted that for another TMD material, molybdenum diselenide (MoSe2), PTAS had been considered as the undesirable impurities left on the as-grown MoSe2 that resulted in the degraded FET performance.25 Though PTAS seeding improves the growth yield and domain size, it is likely to be incorporated into lattice of TMDs and degrades the charge transport due to the increased impurity scattering. Considering the immense advantage of pre-seeding in increasing the crystal size of TMDs, it is necessary to seek for an alternative seed promotors that would have minimum repercussion on the electrical properties of the TMDs. In this paper, we will demonstrate the effective growth of large-sized MoS2 atomic crystal via the sulfurization of a pre-deposited Mo-based precursor, sodium molybdate dihydrate (Na2MoO4), by taking advantage of its bifunctionality as both Mo precursor supply and seed promotor source (Na facilitates the lateral growth of highly crystalline MoS2 crystal).26 Although Boandoh et al. had shown that the domain size of MoS2 grown with the Na2MoO4 precursor can be up to hundreds of m, the role of the precursor in the growth process is not yet clarified.27 In addition, we find that the surface energy engineering of the substrate through oxygen plasma treatment is fundamental to increase the wettability between the source and the substrate, promoting the thinning and coalescence of the source clusters during the sulfurization for the formation of large-sized MoS2 single crystals (domain size up to ~260 m). The control of growth temperature is also essential to grow strictly monolayer MoS2 crystal. The back-gated FETs based on our monolayer MoS2 exhibited excellent ON/OFF ratio (> 106) and the highest field effect e (~90 cm2V-1s-1) reported among CVD-grown monolayer MoS2 FETs measured at room temperature. It is believed that the high mobility obtained from our monolayer MoS2 crystals is due to high crystallinity of the pristine precursor and low densitry of charged impurities within MoS2 lattice. In addition, the mreasurement of thermoelectric properties of our 4 ACS Paragon Plus Environment

Page 5 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

synthesized monolayer MoS2 single crystal revealed a large Seebeck coefficient (-276 V K-1 at room temperature).

2. EXPERIMENTAL SECTION 2.1.

Synthesis of monolayer MoS2 Single Crystals.

The growth substrates (SiO2 (285 nm) /Si) were cleaned with acetone, isopropanol and DI water under 10 min ultrasonication. The pre-cleaned SiO2/Si substrates were then kept at 120 °C in a oven to reduce water adsorption. Oxygen plasma treatment was undergone on some of the precleaned SiO2/Si substrates in Harrick Plasma Expanded Plasma Cleaner at fixed RF power (30W) and oxygen flow rate of 8 sccm with varying plasma time from 1, 3, 5, 10 to 15 min. Mobased precursor solution was prepared by dissolving 125 mg high purity (99.99 %) sodium molybdate dihydrate (Na2MoO4) in 5 ml DI water. The Mo-precusor solution was spin coated on the pre-cleaned substrates, including those subjected to oxygen plasma treatment, at the spin speed of 500 rpm, 10s + 2500 rpm, 40s. Residual solvent was removed by a subsequent mild annealing on a hot plate (150 °C) for 30 min. The Na2MoO4-coated substrates were then placed at the centre of fused quartz tube (80 mm in diameter) of two-temperature zone furnace system where the temperature of sulfur powders was accurately regulated by using the heating belt (Figure 1). Under atmospheric pressure and room temperature, the furnace was purged with 300 sccm N2 for 30 min before the growth. For the optimized growth condition, 50 sccm N2 was introduced as a carrier gas during the CVD process. The crucible filled with 1600 mg of S (99.999 %) powder was placed at the upstream region and heated up to a temperature of 200 ± 20 °C rapidly, when the furnace reached the optimized first annealing temperature of 725 °C (for 5 min, see Figure 2g). A subsequent annealing at 800 °C for another 5 min under S supply was followed to complete the growth. The system was cooled down to room temperature by opening the furnace cage under 300 sccm N2 gas flow afterwards. 2.2.

Materials Characterizations.

Differential Scanning Calorimetry (DSC) and Thermogravimetry (TGA) analysis of Na2MoO4 powder were characterized at a heating rate of 10°C/min under nitrogen atmosphere using 5 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 28

simultaneous thermal analyser, STA 449F1, NETZSCH. X-ray powder diffraction (XRD) patterns of Na2MoO4 films were recorded in Bruker D8 Advance X-ray diffractometer using monochromatised Cu K1 radiation with wavelength of 0.15406 nm. Raman and photoluminescence (PL) data were acquired with laser excitation at 532 nm using a WiTEC system. With a 100x objective lens (NA=0.9), 1800 and 600 gratings/mm were used for Raman and PL measurement respectively at room temperature. Step size of mapping images achieved in Figure S3a and S3b was 0.2 m with the plot taken over 100 x 100 points of 20 x 20 m2 area with an integration time of 0.43s per step. Note that the Si peak at 520 cm-1 was used for calibration in the experiments. For other structural characterization, FEI Titan 80/300 Scanning/TEM (200 kV) and Bruker Dimension FastScan Atomic Force Microscope were used to capture high resolution TEM and tapping-mode AFM images of MoS2 respectively. The synthesized MoS2 were transferred onto holey grids and HfO2 coated Si for TEM and AFM analysis respectively using the typical PMMA transfer technique.19 XPS measurements were achieved using a monochromatic Al K source in a ultrahigh vacuum VG ESCALAB 220i-XL system. All XPS spectra were aligned with the C 1s reference peak at 284.6 eV to compensate the sample charging effect. 2.3.

Fabrication and Measurement of Back-gated FETs.

To determine the room temperature electron mobility of the synthesized MoS2, the freshly prepared MoS2 triangles were transferred onto either 20 nm HfO2/Si or 90 nm SiO2/Si substrates using the typical PMMA transfer technique.19 HfO2 was deposited by atomic layer deposition (ALD), while polished oxidized Si wafer with dielectric constant of 3.9 was purchased from Bonda Technology Pte Ltd. The source/drain contacts consisted of Cr/Au (3 nm/55 nm) were prepared with electron-beam lithography (EBL: JOEL-6300FS) and e-beam evaporation, followed by a lift-off step. Finally, the whole device was encapsulated with 20 nm Al2O3 passivation layer via ALD process. HfO2 deposition by ALD: HfO2 was deposited in a Cambridge NanoTech reactor with Tetrakis(Dimethylamido)Hafnium [Hf(NMe2)4] and H2O as the precursors. Nitrogen (N2) was used as the purge gas and the carrier gas. The sequence of pulses for one cycle deposition of HfO2 was H2O (0.015 s)/N2 (20 s)/Hf(NMe2)4 (0.15 s)/N2 (30 s). The deposition temperature was kept at 150 °C. A total of 200 cycles was used for HfO2 layer deposition, corresponding to the 6 ACS Paragon Plus Environment

Page 7 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

thickness of 20 nm. The gate capacitance density and dielectric constant of the HfO2 is 5.08 × 107

F/cm2, and 15.4 respectively.28

Al2O3 deposition by ALD: Al2O3 was grown in the same reactor using trimethylaluminum (TMA) and H2O as the precursors. The sequence of pulses in a cycle deposition of Al2O3 was TMA (0.015 s)/N2 (5 s)/H2O (0.015 s)/N2 (5 s). The deposition temperature was kept at 200 °C. 200 cycles were adopted for the passivation layer of Al2O3. The current-voltage characteristics of the FETs with L/W ratio of 0.25 were measured in ambient conditions on a Cascade probe station with a HP4155 semiconductor parameter analyzer. 2.4.

Fabrication and Measurement of Thermoelectric Device.

To determine the room temperature Seebeck Coefficient of the synthesized MoS2, the freshly prepared MoS2 triangles were transferred onto 285 nm SiO2/Si substrates using PMMA transfer method. By using EBL and thermal evaporation, pure Au (50 nm) and Cr (2 nm)/Au (50 nm) were prepared for the electrode contacts to MoS2 and external contacts/wiring, respectively. The devices were loaded into the cryostat for in-situ annealing in vacuum at 420 K for few hours to remove organic residues. The DC current was applied in the nanofabricated heater to create joule heating. The variation of temperature created under 15 mA heating current is 2.13 K. The open circuit voltage generated under such temperature gradient was measured at 300 K. 3. RESULTS AND DISCUSSIONS We employed a sulfurization process for MoS2 growth through the reaction of Na2MoO4 precusor deposited on SiO2/Si substrates and sulfur vapor carried by a pure N2 flow in a furnace. Prior to the deposition of the Na2MoO4 precusor, the SiO2/Si substrates had been pre-cleaned and kept in oven at 120 °C for better hydrophilic property. Na2MoO4 (a highly water-soluble inorganic salt) solution was spin coated on the substrate (Figure 1a) and heated in a twotemperature zone furnace system where the temperature of the sulfur powders was accurately regulated by using the heating belt (Figure S1). Figure 1 shows the occurrence of thermal transitions in Na2MoO4 over a temperature range of 25-800 °C. The first exothermic DSC peak with an enthalpy of -365.7 J/g starts at an onset 7 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 28

temperature of 90 °C is related to the dehydration of sample. Upon further increasing the temperature, four additional exothermic peaks with an enthalpy of -93.12, -5.1, -30.92 and -134.5 J/g were revealed in DSC curve of Na2MoO4 with respective onset temperatures of 452, 582, 638 and 685 °C, corresponding to three solid-solid crystallographic phase transitions and melting state. Each phase transition temperature of Na2MoO4 was used as the guideline to set the substrate temperature in the growth process.

Figure 1. DSC analysis and chemical structure (inset figure) of the bifunctional molybdate precursor (Na2MoO4). The two-step annealing profiles of the Na2MoO4 coated substrates and sulfur powder are shown in Figure 2. Detailed growth conditions are provided in the Experimental Section. Typically, the substrate temperature was first set to 425, 575, 675 or 725 °C (denoted as TM), followed by the temperature ramping of sulfur powder at 200 °C (denoted as TS) to fill up the quartz tube with sulfur vapor. After five minutes, the second annealing at 800 °C under S supply was carried on for another five minutes to improve the crystallinity and stoichiometry of MoS2. Different first annealing temperature TM was utilized in order to correlate the qualities of the MoS2 crystals grown under different crystallographic phase of Na2MoO4. Interestingly, it was found that the average domain size and thickness of triangular MoS2 domains are strongly correlated with the first annealing temperature TM. The zoom-in optical microscopic images of the MoS2 are shown in Figure 2c-2f. The equilateral shape of the isolated triangles suggests these 8 ACS Paragon Plus Environment

Page 9 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

MoS2 were grown under S-rich condition.29-31 S-rich growth condition has been found to facilitate thermodynamically stablized zigzag edges that have a slower growth rate, which contributes to the formation of triangular MoS2 crystals with zigzag edges.

Figure 2. (a) Raman and (b) photoluminescence spectra of MoS2 grown under different TM; (c f) optical microscopic images showing the evolution of various growth morphology and size of MoS2 crystals as a function of TM (425, 575, 675 and 725 °C respectively); (g - j) schematics indicating the two-step annealing profiles of the Na2MoO4 coated substrate and sulfur powder.

We hypothesize that the TM-dependent MoS2 growth is governed by the surface atomic mobility of the Na2MoO4 precursor. The as-deposited precursor initially sits on the cleaned SiO2/Si substrates in thick cluster form. With the increasing TM, the surface atomic mobility of Na2MoO4 increases that contributes to the thinning of the Na2MoO4 clusters,32 while the molecular thermal kinetic energy also increases dramatically which facilitates the surface movement of the molten MoO42- precursor and the reaction between MoO42- and S. Since 9 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 28

Na2MoO4 is highly mobilized at its hexagonal phase (when TM > 675 °C), a stronger thinning effect of the precursor clusters is thus induced at higher TM that results in the increment in the triangular MoS2 flake sizes as shown in Figure 2e,f. By contrast, low TM (425 and 575 °C) results in the growth of small-sized and thick triangular MoS2 crystals due to the reduced surface atomic mobility and the large original thicknesses of starting precursor clusters. The clusters in cubic and orthorhombic phase tend to diffuse slowly on the substrate surface, thus reducing the mass transport process that favors the formation of thermodynamically stable multilayered crystals (Figure 2c,d). Our observations are in agreement with the literature report that the reduction of Mo precursor thickness increases the lateral grain growth of MoS2 under sulfurization due to the increased surface atomic mobility.18 As shown in Figure 2a, all samples show two characteristic Raman E2g and A1g peaks associated with the in-plane and out-of-plane vibration modes of monolayer/multi-layered MoS2 crystals. The Raman frequency difference (denoted as ) between two vibration modes changes with varying TM, indicating different thickness of MoS2 crystals are grown with different TM. MoS2 crystals grown at highest TM (725 °C) are monolayer in nature with   20 cm-1, as opposed to bulk MoS2 crystals grown at lowest TM (425 °C) with  > 25 cm-1. The largest E2g /A1g peak ratio from MoS2 crystals grown at 725 °C suggests their highest crystallinity among MoS2 crystals grown with different TM.24,33 The high crystallinity of MoS2 crystals with perfect triangular shape grown with TM of 675 and 725 °C is also evidenced by the observation of a highly distinct photoluminescence (PL) peak at ~1.82 eV that corresponds to the direct excitonic transition (Figure 2b). As expected, a much weaker PL peak is observed from the thicker MoS2 domains (grown at 425 °C and 575 °C), due to the indirect band gap nature of the thick multilayer MoS2 domains.5,6,34

10 ACS Paragon Plus Environment

Page 11 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 3. (a) Optical microscopic (OM) images of contact angles of Na2MoO4 droplets measured on oxygen plasma treated SiO2/Si substrates with different duration (inset figure shows the variation of domain size and counts of MoS2 crystals grown on the substrates); (b) Raman and (c) photoluminescence of the MoS2 crystals; (d - h) the OM images of the crystals grown on substrates treated under increasing plasma duration. Corresponding zoomed-in OM images are shown from (i - m). Under the S-rich growth condition, the size of MoS2 domains can be further increased by simply increasing the coverage and amount of Na2MoO4 precursor. It is known that the presence of fewer dangling bonds and adsorbed hydrocarbons on the pristine SiO2 surface makes it be less hydrophilic35 and thus increase the difficulties to capture high coverage/amount of Mo precursor on the pristine SiO2. The oxygen plasma treatment on the SiO2/Si substrates could enrich O- dangling bonds and increase the surface energy36 for improved wettability of Na2MoO4 solution. Indeed, a drastical reduction in the contact angles of Na2MoO4 droplets was observed on oxygen plasma treated SiO2/Si substrates, indicating the improved wetting property of Na2MoO4 solution (Figure 3a). 11 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 28

With the improved wettability achieved by oxygen plasma treatment, the crystal sizes were found to be increased remarkably (from ~163 to ~260 m) with increasing plasma time (from 1 to 15 min) when MoS2 gowth was performed with a fixed TM at 725 °C (Figure 3i-3l). The coverage and the homogeneity of the MoS2 crystals were improved accordingly throughout the oxygen plasma treated SiO2/Si substrates. As shown in Figure S2, increasing plasma time leads to increased coverage of Na2MoO4 clusters, which results in increased sizes and densities of the MoS2 crystals grown (inset in Figure 3a). Highly uniform color contrast of these large-sized MoS2 crystals suggests that they have constant thickness, while further analyses by Raman and PL verify that they are high-quality single crystal domains without internal grain boundaries (Figure 3b, 3c, S3 and S4). Meanwhile, due to increased wettability of Na2MoO4 solution on oxygen plasma treated SiO2 surface, individual Na2MoO4 clusters have higher tendency to coalesce with adjacent clusters to form a large nucleation point by in-plane diffusion. Thinning down of the coalesced clusters under continuous heating at 725 °C leads to the growth of the large-sized MoS2 crystals. Under the highest precursor dose (Figure 3h and 3m), a continuous layer consisting of large-sized grains was formed after merging the initially separated crystal domains. Though the continuity of MoS2 is yet to be improved further, the abundant edges inside the high crystallinity MoS2 layer could be advantageous for applications such as catalysis,37,38 sensing,39 and etc, where the thickness control is less critical. The monolayer MoS2 single crystals were transferred from growth substrates onto HfO2/Si substrates and transmission electron microscopy (TEM) grid by standard PMMA transfer method for XPS and TEM measurements. Figure 4a confirms that the Na2MoO4 had been completely sulfurized to form MoS2 with good stoichiometry (Mo:S atomic ratio of ~ 1:2.02). As shown in XPS Mo 3d spectra, Mo 3d5/2 and Mo 3d3/2 doublet peaks of Na2MoO4 precursor film located at ~232.3 and ~235.5 eV, respectively. After sulfurization, Na2MoO4 had been entirely transformed into MoS2 with doublet peaks appeared at ~230.1 and ~233.3 eV, respectively. The dual peaks located at ~163 and ~164.2 eV correspond to the S 2p3/2 and S 2p1/2 of sulfur ions from MoS2. The doublet peaks in Mo and S spectrum of the transferred MoS2 sample located at the region of binding energies that agree well with MoS2 crystals with hexagonal symmetry.40 No characteristic peak for Na 1s was observed, suggesting that the transferred MoS2 crystals are free from Na contamination. Shifting of binding energies to the lower region were observed for 12 ACS Paragon Plus Environment

Page 13 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

both the Mo 3d and S 2p peaks due to the desorption of Na which acts as n-dopant in the MoS2 crystals and the strong charge transfer at the interface of MoS2/HfO2.41

Figure 4. (a) XPS core level spectra of the S 2p, Mo 3d and Na 1s region measured from Na2MoO4, as-grown MoS2 and transferred MoS2 onto HfO2/Si substrates; (b) High-resolution TEM image and corresponding FFT image (inset figure); (c) Cross-sectional profile extracted from AFM image of the transferred MoS2. The hexagonal symmetry of the crystalline MoS2 is evident from the high resolution TEM image (Figure 4b). The measured inter-planar atomic spacing of ∼0.27 and ∼0.16 nm matches well with the (100) and (110) lattice planes of MoS2, respectively. The Fast Fourier Transform (FFT) pattern reveals a single set of diffraction spots of the MoS2 monolayer (inset in Figure 4b). No obvious defects and vacancies can be observed over the transferred MoS2 crystal. The homogeneous color contrast in the topographical image (Figure 4c) suggests that the basal 13 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 28

plane of the transferred MoS2 crystal is highly uniform and smooth with a monolayer thickness (~0.65 nm). The sharper and straighter edge suggests the formation of molybdenum zigzag edge structure. The growth of large-sized and single crystalline monolayer MoS2 based on the sulfurization of Mo precursor is surprising, as the growth of MoS2 using the sulfurization method typically produces multi-layered MoS2 with rough morphology and small grain size (in the range of 10-30 nm), 42 due to the poor crystallinity40 and low wettability of the conventional Mo precursors (e.g. Mo,42 MoO2,40 MoO343), along with the occasional observations of the resultant MoS2 grown perpendicular to the substrate.44,45 It is important to note that, different from other Mo precursors, Na2MoO4 is highly crystalline in nature as evidenced by their Raman (Figure S5) and XRD spectra (Figure S6). Upon heating beyond 675 °C, the cubic-phase Na2MoO4 is transformed into hexagonal-phase which is highly mobilized on substrate and results in merging and thinning of the precursor clusters (Figure S7). The large and thin clusters act as the large nucleation point for MoS2 growth, resulting in the enlargement of domain size. In addition, Na2MoO4 not only serves as local crystalline Mo source but also contains intrinsic seeding/grwoth promoter Na for MoS2 growth. Na has been considered as an effective catalyst to improve the lateral growth of TMDs by reducing the energy barrier of the edge growth27 and weakening the interlayer adhesion for MoS2.46 In our study, we found that Na decomposed from the Na2MoO4 clusters would facilitate the lateral expansion to form monolayer MoS2 as well. Control experiment shows that without the Na seed promotor, only small-sized MoS2 crystals were grown when ammonium molybdate was used as Mo-precursor (Figure S8). Despite the beneficial effect of Na as seeding/growth promoter, the possible incorporation of residual Na atoms into monolayer MoS2 crystals grown is obviously a concern. In relation to this, it is known that Na tends to bond with the negatively charged SiO2 sites created by the deprotonation of silanol groups on the substrate under the heating process.47 As a result, Na preferentially segregates along the borders rather than incorporating into MoS2 lattice which can be simply eliminated after transferring MoS2 crystal onto a clean susbtrate as revealed by XPS (Figure 4a), AFM (Figure S9), and TEM images (Figure S10).

14 ACS Paragon Plus Environment

Page 15 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

While our experimental results clearly show the effectiveness in utilizing Na2MoO4 as Mo precursor for the growth of high-quality and large monolayer MoS2 single crystals, one remaining question is whether the use of Na-containing transition metal precursor is also effective for other TMDs growth. To demonstrate the universality of this method, the growth of large-sized (> 100 m) and high crystalline WS2 single crystals based on similar Na-containing W precursor, Na2WO4 was also studied. As shown in Figure S11a, the formation of monolayer WS2 crystals is confirmed by the observation of the two signature WS2 Raman peaks at 358 cm-1 (in-plane E2g mode) and 419 cm-1 (out-of-plane A1g mode), with their difference of ~61 cm-1.48 A sharp PL emission peak (Figure S11b) and the high spatial uniformity in both PL and Raman position mapping (Figure S11d and S11e) confirm its high crystallinity.

15 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 28

Figure 5. (a) Configuration of the back-gated MoS2 device. (b) Typical IDS-VDS curves of the device (inset figure shows the optical microscopic image of the two-terminal MoS2 device). (c) Corresponding IDS-VBG curves in linear (right y-axis) and semi-logarithmic plots (left y-axis) of same device. All output and transfer characteristics were measured under ambient conditions at room temperature. (d) Statistical distribution of the electron mobility and ON/OFF ratio of the devices. Literature reports about the performance of MoS2 devices grown with different seed promotors are included as a comparison. Detailed parameters are summarized in Table S2.

To investigate the electronic properties, two-terminal back-gated monolayer MoS2 based FETs passivated with Al2O3 encapsulation layer were fabricated (Figure 5a). The nearly linear character of soure-to-drain current (IDS) with a low drain-to-source bias (VDS) for a wide range of gate bias (VBG) (Figure 5b) indicate a good ohmic contact in the MoS2 channel. A field effect mobility as high as ~90 cm2V-1s-1 and high ON/OFF current ratio of ~106 are extracted from the transfer characteristics of a typical MoS2 FET fabricated on HfO2/Si (Figure 5c). The small variation in the output characteristics of the FETs confirms the high reproducibility of high quality MoS2 crystals as shown in Figure S12. It is worth noting that the high mobility values determined here surpass those recently reported for CVD/MOCVD-grown MoS2 FETs measured at room temperature, confirming the excellent quality of the large-sized single crystals (Figure 5d, Table S1 and S2). Although field effect mobility of monolayer MoS2 FET on high-k dielectric (e.g. HfO2) has shown improvement owing to the suppression of charge impurities/CI scattering and modification of the phononic dispersion relation,49-51 we would like to point out that the extracted mobility is still as high as 70 cm2V-1s-1 with ON/OFF ratio of ~105 (Figure S13) after replacing high-k dielectric HfO2 (k =15.4) with low-k dielectric SiO2 (k =3.9) in our devices. Al2O3 encapsulation layer had also been reported to increase the field effect mobility of the multilayer MoS2 FETs (up to ~40 cm2V-1s-1),52-54 however, the Al2O3 layer would induce the electrostatic doping on MoS2 as well and create additional conducton pathway at the topmost region of MoS2 that leads to high off-current55 and the ON/OFF current ratio was suppressed to ~103. Here, high mobility and high ON/OFF ratio are both achieved from our Al2O3 passivated monolayer MoS2 FETs. Small hysteresis is observed in the transfer characteristics of the monolayer MoS2 FETs with the passivation layer of Al2O3 (Figure S14). The difference in the threshold voltage values extracted from the forward and reverse transfer curves is ~1.5V. The forward sweep current (the 16 ACS Paragon Plus Environment

Page 17 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

gate voltage is swept from negative to positive values) is higher than the backward sweep current (the gate voltage is swept from positive to negative values) that may originate from charge trapping in the channel near the interface of HfO2/MoS2.56 The trapping sites (e.g. atmospheric water) should be further suppressed during the growth of passivation layer Al2O3 by utilizing high ALD growth temperature (300 °C).57 Further investigation about the effects of Al2O3 encapsulation layer on our device performance are still underway and beyond the scope of this study. As a proof-of-concept for thermoelectric (TE) application, the TE properties of the MoS2 crystals grown was also elucidated. High efficiency TE devices can convert ubiquitous sources of waste heat into large amount of electrical energy. Seebeck coefficient (S) is one of the prominent parameters to govern the efficiency that is correlated with TE figure of merit (ZT): ZT =  S2 /  T where  is the electrical conductivity,  is the thermal conductivity and T is the absolute temperature. Figure 6 shows the optical image and TE plot of the TE device based on a monolayer MoS2 crystal. As shown from Figure 6b, the n-type MoS2 monolayer features a large Seebeck coefficient (-276 V K-1 at room temperature) with power factor ( S2) of ~0.15 mWm−1K−2 (Figure S15) and  of 1943 S under a positive gate of +70V. The Seebeck coefficient (-276 V K-1) of the TE device based on our monolayer MoS2 crystal is well comparable to or even higher than those obtained from the conventional TE materials, such as Bi2Te3 ( -130 V K-1 at room temperature).58 The Seebeck coefficient of our device can be further increased by using an external electric field,59 making the monolayer MoS2 a promising material for thermoelectric applications.

17 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 28

Figure 6. (a) The optical microscopic image of a typical thermoelectric device. (b) Seebeck coefficient as a function of gate voltage. The insert shows the open circuit voltage of MoS2 induced by different heating current at 70V gate voltage. The solid line is parabolic fitting.

4. CONCLUSIONS The Na-containing bifunctional Na2MoO4 precursor, serving both as the crystalline Mo source and seeding/growth promotor, is found to be able to facilitate the growth of large-sized and highly-crystalline monolayer MoS2 crystals on amorphous substrate. Through careful optimization of growth temperature, together with surface energy engineering of the interface of Na2MoO4/substrate via plasma treatment, large-sized monolayer MoS2 single crystal with edge length up to ~260 µm were obtained on SiO2/Si substrates. The MoS2-FETs based on these high quality MoS2 single crystals display a high electron mobility (as high as ~90 cm2V-1s-1) and ON/OFF current ratio (~106) (with the mobility values surpassing those recently reported for CVD/MOCVD-grown monolayer MoS2 FETs measured at room temperature). In addition, a large Seebeck coefficient (up to -276 V K-1 at room temperature) was obtained from the thermoelectric devices based on our synthesized MoS2 crystals. The growth of large-sized monolayer crystals is also achievable for other TMDs (e.g. WS2) by using a similar Nacontaining metal precursor (e.g., Na2WO4), suggesting the generic applicability of our approach. Compared with MoS2 growth via the sulfurization of vacuum deposited MoO3, Mo metal and MoO2 precursors, the solution processable Na2MoO4 can be prepared via a simple spin-coating process, thereby making this approach facile and easily scalable. Particularly, the ability to create 18 ACS Paragon Plus Environment

Page 19 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

a high density of large-sized monolayer TMDs triangular single crystals on a substrate should enable researchers to design/conduct high throughput experiments where strictly monolayer and grain boundar free single crystals required (such as for quantum optics, valleytronic, and piezoelectric applications). AUTHOR INFORMATION Corresponding Authors * E-mail: [email protected] (D.C.) * E-mail: [email protected] (S.W.T.)

Author Contributions S.W.T. supervised and performed the experiments including the growth of MoS2 and WS2, DSC, Raman, PL, contact angle and AFM measurements. S.W.T. and H.M. wrote the manuscript. H.M. transferred MoS2 for device fabrication and TEM study. W.L. fabricated and characterized the two-terminal FETs. J.W. fabricated and characterized the thermoelectric device. W.W. carried out TEM measurements. J.C. and M.Y. conducted XPS measurements. A.A. performed XRD measurements. S.W., C.Z., K.H. and D.C. provided technical guidance for the project. D.C. supervised the work. All authors had approved the manuscript. ACKNOWLEDGMENTS The authors gratefully acknowledge financial support from the Institute of Materials Research and Engineering (IMRE) under the Agency for Science, Technology, and Research (A*STAR) via A*STAR Science and Engineering Research Council Pharos 2D Program (SERC Grant No 152-70-00012 and 152-70-00015). ASSOCIATED CONTENT The Supporting Information (Figure S1- S15 and Table S1-S2) is available free of charge via the Internet at http://pubs.acs.org. The authors declare no competing financial interests. REFERENCES

19 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 28

(1) Salahuddin, S.; Ni, K.; Datta, S. The era of hyper-scaling in electronics. Nat. Electron. 2018, 1, 442–450. (2) Alamo, J. A. del. Nanometre-scale electronics with III–V compound semiconductors. Nature 2011, 479, 317-323. (3) Cao, Q.; Tersoff, J.; Farmer, D. B.; Zhu, Y.; Han, S. J. Carbon nanotube transistors scaled to a 40-nanometer footprint. Science 2017, 356, 1369–1372. (4) Kaasbjerg, K.; Thygesenis, K. S.; Jacobsen, K. W. Phonon-limited mobility in n-type singlelayer MoS2 from first principles. Phys. Rev. B 2012, 85, 115317. (5) Mak, K. F.; Lee, C.; Hone, J.; Shan, J.; Heinz, T. F. Atomically Thin MoS2: A New DirectGap Semiconductor. Phys. Rev. Lett. 2010, 105, 136805. (6) Castellanos-Gomez, A.; Barkelid, M.; Goossens, A. M.; Calado, V. E.; Zant, H. S. J. van der, Steele. G. A. Laser-thinning of MoS2: on demand generation of a single layer Semiconductor. Nano Lett. 2012, 12, 3187-3192. (7) Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-layer MoS2 transistors. Nat. Nanotech. 2011, 6, 147-150. (8) Zhang, F.; Appenzeller, J. Tunability of Short-Channel Effects in MoS2 Field-Effect Devices. Nano Lett., 2015, 15, 301–306. (9) Fiori, G.; Bonaccorso, F.; Iannaccone, G.; Palacios, T.; Neumaier, D.; Seabaugh, A.; Banerjee, S. K.; Colombo, L. Electronics based on two-dimensional materials. Nat. Nanotech. 2014, 9, 768−779.

20 ACS Paragon Plus Environment

Page 21 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(10) Kim, H.; Kim, W.; O'Brien, M.; McEvoy, N.; Yim, C.; Marcia, M.; Hauke, F.; Hirsch, A.; Kim, G. T.; Duesberg, G. S. Optimized single-layer MoS2 field-effect transistors by non-covalent functionalisation. Nanoscale 2018, 10, 17557-17566. (11) Liu, L.; Kumar, S. B.; Ouyang, Y.; Guo, J. Performance limits of monolayer transition metal dichalcogenide transistors. IEEE Trans. Electron Dev. 2011, 58, 3042–3047. (12) Naveh, Y.; Likharev, K. K. Modeling of 10-nm-scale ballistic MOSFETs. IEEE Electron Dev. Lett. 2000, 21, 242–244. (13) Kang, K.; Lee, K. H.; Han, Y.; Gao, H.; Xie, S.; Muller, D. A.; Park, J. Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures. Nature 2017, 550, 229233. (14) Masubuchi, S.; Morikawa, S.; Onodera, M.; Asakawa, Y.; Watanabe, K.; Taniguchi, T.; Machida, T. Autonomous robotic searching and assembly of two-dimensional crystals to build van der Waals superlattices. Nat. Commun. 2018, 9, 1413. (15) Mak, K. F.; He, K.; Shan, J.; Heinz, T. F. Control of valley polarization in monolayer MoS2 by optical helicity. Nat. Nanotech. 2012, 7, 494-498. (16) Bawden, L.; Cooil, S. P.; Mazzola, F.; Riley, J. M.; Collins-McIntyre, L. J.; Sunko, V.; Hunvik, K. W. B.; Leandersson, M.; Polley, C. M.; Balasubramanian, T.; Kim, T. K.; Hoesch, M.; Wells, J. W.; Balakrishnan, G.; Bahramy, M. S.; King, P. D. C. Spin–valley locking in the normal state of a transition-metal dichalcogenide superconductor. Nat. Commun. 2016, 7, 11711. (17) Cai, Z.; Liu, B.; Zou, X.; Cheng, H. M.; Chemical Vapor Deposition Growth and Applications of Two-Dimensional Materials and Their Heterostructures. Chem. Rev., 2018, 118, 6091–6133.

21 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 28

(18) Liu, H. F.; Antwi, K. K. A.; Ying, J.; Chua, S.; Chi, D. Z. Towards large area and continuous MoS2 atomic layers via vapor-phase growth: thermal vapor sulfurization. Nanotechnology 2014, 25, 405702. (19) Lim, Y. F.; Priyadarshi, K.; Bussolotti, F.; Gogoi, P. K.; Cui, X.; Yang, M.; Pan, J.; Tong, S. W.; Wang, S.; Pennycook, S. J.; Goh, K. E. J.; Wee, A. T. S.; Wong, S. L.; Chi, D. Z. Modification of Vapor Phase Concentrations in MoS2 Growth Using a NiO Foam Barrier. ACS Nano 2018, 12, 1339−1349. (20) Lee, Y. H.; Yu, L.; Wang, H.; Fang, W.; Ling, X.; Shi, Y.; Lin, C.-T.; Huang, J.-K.; Chang, M.-T.; Chang, C.-S.; Dresselhaus, M.; Palacios, T. Li, L. J.; Kong, J. Synthesis and Transfer of Single-Layer Transition Metal Disulfides on Diverse Surfaces, Nano Lett. 2013, 13, 1852−1857. (21) Smithe, K. K. H.; English, C. D.; Suryavanshi, S. V.; Pop, E. Intrinsic electrical transport and performance projections of synthetic monolayer MoS2 devices. 2D Mater. 2017, 4, 011009. (22) Han, G. H.; Kybert, N. J.; Naylor, C. H.; Lee, B. S.; Ping, J.; Park, J. H.; Kang, J.; Lee, S. Y.; Lee, Y. H.; Agarwal, R.; Johnson, A. T. C. Seeded growth of highly crystalline molybdenum disulphide monolayers at controlled locations. Nat. Commun. 2015, 6, 6128. (23) Kim, H.; Ovchinnikov, D.; Deiana, D.; Unuchek, D.; Kis, A. Suppressing Nucleation in Metal−Organic Chemical Vapor Deposition of MoS2 Monolayers by Alkali Metal Halides. Nano Lett. 2017, 17, 5056−5063. (24) Lee, Y.-H.; Zhang, X.-Q.; Zhang, W.; Chang, M. T.; Lin, C.-T.; Chang, K.-D.; Yu, Y.-C.; Wang, J. T.-W.; Chang, C.-S.; Li, L.-J.; Lin, T.-W. Synthesis of Large-Area MoS2 Atomic Layers with Chemical Vapor Deposition. Adv. Mater. 2012, 24, 2320–2325. (25) Utama, M. I. B.; Lu, X.; Yuan, Y.; Xiong, Q. Detrimental influence of catalyst seeding on the device properties of CVD-grown 2D layered materials: A case study on MoSe2. Appl. Phys. Lett. 2014, 105, 253102. 22 ACS Paragon Plus Environment

Page 23 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(26) Yang, P.; Zou, X.; Zhang, Z.; Hong, M.; Shi, J.; Chen, S.; Shu, J.; Zhao, L.; Jiang, S.; Zhou, X.; Huan, Y.; Xie, C.; Gao, P.; Chen, Q.; Zhang, Q.; Liu, Z.; Zhang, Y. Batch production of 6-inch uniform monolayer molybdenum disulfide catalyzed by sodium in glass. Nat. Commun. 2018, 9, 979. (27) Boandoh, S.; Choi, S. H.; Park, J.-H.; Park, S. Y.; Bang, S.; Jeong, M. S.; J. S. Lee, J. S.; Kim, H. J.; Yang, W.; Choi, J.-Y.; Kim, S. M.; Kim, K. K. A novel and facile route to synthesize atomic-layered MoS2 film for large-area electronics. Small 2017, 13, 1701306. (28) Liao, W.; Wei, W.; Tong, Y.; Chim, W. K.; Zhu, C. X. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing. ACS Appl. Mater. Interfaces 2018, 10, 7248−7255. (29) Cao, D.; Shen, T.; Liang, P.; Chen, X.; Shu, H. Role of Chemical Potential in Flake Shape and Edge Properties of Monolayer MoS2. J. Phys. Chem. C 2015, 119, 4294–4301. (30) Wang, S.; Rong, Y.; Fan, Y.; Pacios, M.; Bhaskaran, H.; He, K.; Warner, J. H. Shape Evolution of Monolayer MoS2 Crystals Grown by Chemical Vapor Deposition. Chem. Mater. 2014, 26, 6371–6379. (31) Rajan, A. G.; Warner, J. H.; Blankschtein, D.; Strano, M. S. Generalized Mechanistic Model for the Chemical Vapor Deposition of 2D Transition Metal Dichalcogenide Monolayers. ACS Nano 2016, 10, 4330−4344. (32) Koyama, K.; Hashimoto, Y.; Terawaki, K. Smooth electrodeposits of molybdenum from KF-K2B4O7-K2MoO4 fused salt melts, J. Less-Common Met. 1987, 134, 141-151. (33) Laskar, M. R.; Ma, L.; Kannappan, S.; Park, P. S.; Krishnamoorthy, S.; Nath, D. N.; Lu, W.; Wu, Y.; Rajan, S. Large area single crystal (0001) oriented MoS2. Appl. Phys. Lett. 2013, 102, 252108. 23 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 28

(34) Zhao, W.; Ribeiro, R. M.; Toh, M.; Carvalho, A.; Kloc, C.; Neto, A. H. C., Eda, G. Origin of Indirect Optical Transitions in Few-Layer MoS2, WS2, and WSe2. Nano Lett., 2013, 13, 5627– 5634. (35) Ruzyllo, J. The Physics and Chemistry of SiO2 and the Si-SiO2 Interface. In Preoxidation Surface Treatments in Thermal Oxidation of Silicon; Deal, B.E.; Helms, C.R., Eds.; Plenum Press, New York, 2013; pp 391-401. (36) Lee, J.; Lee, N.; Lansac, Y.; Jang, Y. H. Charge inhomogeneity of graphene on SiO2: dispersion-corrected density functional theory study on the effect of reactive surface sites. RSC Adv. 2014, 4, 37236–37243. (37) Xu, W.; Li, S.; Zhou, S.; Lee, J. K.; Wang, S.; Sarwat, S. G.; Wang, X.; Bhaskaran, H.; Pasta, M.; Warner, J. H. Large Dendritic Monolayer MoS2 Grown by Atmospheric Pressure Chemical Vapor Deposition for Electrocatalysis. ACS Appl. Mater. Interfaces 2018, 10, 46304639. (38) Li, G.; Zhang, D.; Qiao, Q.; Yu, Y.; Peterson, D.; Zafar, A.; Kumar, R.; Curtarolo, S.; Hunte, F.; Shannon, S.; Zhu, Y.; Yang, W.; Cao, L. All The Catalytic Active Sites of MoS2 for Hydrogen Evolution. J. Am. Chem. Soc., 2016, 138, 16632–16638. (39) Agrawal, A. V.; Kumar, R.; Venkatesan, S.; Zakhidov, A.; Yang, G.; Bao, J.; Kumar, M.; Kumar, M.; Kumar, M. Photoactivated Mixed In-Plane and Edge-Enriched p-Type MoS2 FlakeBased NO2 Sensor Working at Room Temperature. ACS Sens., 2018, 3, 998–1004. (40) Wang, X.; Feng, H.; Wu, Y.; Jiao, L. Controlled synthesis of highly crystalline MoS2 flakes by chemical vapor deposition. J. Am. Chem. Soc. 2013, 135, 5304-5307.

24 ACS Paragon Plus Environment

Page 25 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(41) Yang, M.; Chai, J. W.; Callsen, M.; Zhou, J.; Yang, T.; Song, T. T.; Pan, J. S.; Chi, D. Z.; Feng, Y. P.; Wang, S. J. Interfacial Interaction between HfO2 and MoS2: From Thin Films to Monolayer. J. Phys. Chem. C 2016, 120, 9804−9810. (42) Heyne, M. H.; Chiappe, D.; Meersschaut, J.; Nuytten, T.; Conard, T.; Bender, H.; Huyghebaert, C.; Radu, I. P,; Caymax, M.; Marneffe, J.-F.; Neyts, E. C.; Gendt, S. D. Multilayer MoS2 growth by metal and metal oxide Sulfurization. J. Mater. Chem. C 2016, 4, 1295. (43) Diskus, M.; Nilsen, O.; Fjellvåg, H.; Diplas, S.; Beato, P.; Harvey, C.; Lantman, E. v. S.; Weckhuysen, B. M. Combination of characterization techniques for atomic layer deposition MoO3 coatings: From the amorphous to the orthorhombic α-MoO3 crystalline phase. J. Vac. Sci. Technol. A 2012, 30, 01A107. (44) Jung, Y.; Shen, J.; Liu, Y.; Woods, J. M.; Sun, Y.; Cha, J. J. Metal seed layer thicknessinduced transition from vertical to horizontal growth of MoS2 and WS2. Nano Lett. 2014, 14, 6842–6849. (45) Kong, D.; Wang, H.; Cha, J. J.; Pasta, M.; Koski, K. J.; Yao, J.; Cui, Y. Synthesis of MoS2 and MoSe2 films with vertically aligned layers. Nano Lett. 2013, 13, 1341-7. (46) Wang, Z.; Xie, Y.; Wang, H.; Wu, R.; Nan, T.; Zhan, Y.; Sun, J.; Jiang, T.; Zhao, Y.; Lei, Y.; Yang, M.; Wang, W.; Zhu, Q.; Ma, X.; Hao, Y. NaCl-Assisted One-Step Growth of MoS2−WS2 in-Plane Heterostructures. Nanotechnology 2017, 28, 325602. (47) Armistead, C. G.; Tyler, A. J.; Hambleton, F. H.; Mitchell, S. A.; Hockey, J. A. Surface hydroxylation of silica. J. Phys. Chem. 1969, 73, 3947-3953. (48) Chen, J.; Zhou, W.; Tang, W.; Tian, B.; Zhao, X.; Xu, H.; Liu, Y.; Geng, D.; Tan, S. J. R.; Fu, W.; Loh, K. P. Lateral Epitaxy of Atomically Sharp WSe2/WS2 Heterojunctions on Silicon Dioxide Substrates. Chem. Mater., 2016, 28, 7194–7197.

25 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 28

(49) Choi, Y.; Kim, H.; Yang, J.; Shin, S. W.; Um, S. H.; Lee, S.; Kang, M. S.; Cho, J. H. Proton-Conductor-Gated MoS2 Transistors with Room Temperature Electron Mobility of >100 cm2 V–1 s–1 Chem. Mater., 2018, 30, 4527–4535. (50) Yu, Z.; Ong, Z. Y.; Pan, Y.; Cui, Y.; Xin, R.; Shi, Y.; Wang, B.; Wu, Y.; Chen, T.; Zhang, Y. W.; Zhang, G.; Wang, X. Realization of Room-Temperature Phonon-Limited Carrier Transport in Monolayer MoS2 by Dielectric and Carrier Screening. Adv. Mater., 2016, 28, 547– 552. (51) Cui, Y.; Xin, R.; Yu, Z.; Pan, Y.; Ong, Z. Y.; Wei, X.; Wang, J.; Nan, H.; Ni, Z.; Wu, Y. High-Performance Monolayer WS2 Field-Effect Transistors on High-κ Dielectrics. Adv. Mater., 2015, 27, 5230–5234.

(52) Liu, N.; Baek, J.; Kim, S. M.; Hong, S.; Hong, Y. K.; Kim, Y. S.; Kim, H. S.; Kim, S.; Park, J. Improving the Stability of High-Performance Multilayer MoS2 Field-Effect Transistors. ACS Appl. Mater. Interfaces 2017, 9, 42943-42950. (53) Kim, S. Y.; Park, S.; Choi, W. Enhanced carrier mobility of multilayer MoS2 thin-film transistors by Al2O3 encapsulation. Appl. Phys. Lett. 2016, 109, 152101. (54) Jeong, S. H.; Liu, N.; Park, H.; Hong, Y. K.; Kim, S. Temperature-Dependent Electrical Properties of Al2O3-Passivated Multilayer MoS2. Appl. Sci. 2018, 8, 424. (55) Na, J.; Joo, M. –K.; Shin, M.; Huh, J.; Kim, J.-S; Piao, M.; Jin, J. –E.; Jang, H. K.; Choi, H. J.; Shimd, J. H.; Kim, G. –T. Low-frequency noise in multilayer MoS2 field-effect transistors: the effect of high-k passivation. Nanoscale 2014, 6, 433-441. (56) Huo, N.; Yang, Y.; Wu, Y.-N.; Zhang, X. –G.; Pantelides, S. T.; Konstantatos, G. High carrier mobility in monolayer CVD-grown MoS2 through phonon suppression. Nanoscale, 2018, 10, 15071-15077.

26 ACS Paragon Plus Environment

Page 27 of 28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(57) Illarionov, Y. Y.; Smithe, K. K. H.; Waltl, M.; Knobloch, T.; Pop, E. Improved hysteresis and reliability of MoS2 transistors with high-quality CVD growth and Al2O3 encapsulation. IEEE Electron Dev. Lett. 2017, 38, 1763-1766.

(58) Yang, L.; Chen, Z.G.; Hong, M.; Han, G.; Zou, J. Enhanced Thermoelectric Performance of Nanostructured Bi2Te3 through Significant Phonon Scattering, ACS Appl. Mater. Interfaces 2015, 7, 23694−23699. (59) Buscema, M.; Barkelid, M.; Zwiller, V.; Zant, H. S. J. van der.; Steele, G. A.; CastellanosGome, A. Large and Tunable Photothermoelectric Effect in Single-Layer MoS2. Nano Lett., 2013, 13, 358–363.

27 ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 28 of 28

TOC graphic

The use of solution-processable sodium molybdate dihydrate (denoted as Na2MoO4) as the crystalline precursor in the sulfurization growth of high-quality MoS2 has been demonstrated. Careful surface energy engineering at the interface of Na2MoO4/substrate allows the formation of large-sized and highly crystalline MoS2 single crystals with extraordinary electrical properties.

28 ACS Paragon Plus Environment