Engineering the Kinetics of Directed Self-Assembly of Block

Jun 7, 2018 - Directed self-assembly (DSA) of block copolymers (BCPs) can achieve perfectly aligned structures at thermodynamic equilibrium, but the ...
0 downloads 0 Views 12MB Size
Subscriber access provided by UNIVERSITY OF TOLEDO LIBRARIES

Surfaces, Interfaces, and Applications

Engineering the Kinetics of Directed Self-Assembly of Block Copolymers Toward Fast and Defect-Free Assembly Jiaxing Ren, Chun Zhou, Xuanxuan Chen, Moshe Dolejsi, Gordon S. W. Craig, Paulina Alejandra Rincon Delgadillo, Tamar Segal-Peretz, and Paul F Nealey ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.8b05247 • Publication Date (Web): 07 Jun 2018 Downloaded from http://pubs.acs.org on June 17, 2018

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 2 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Engineering the Kinetics of Directed Self-Assembly of Block Copolymers Toward Fast and Defect-Free Assembly Jiaxing Ren,† Chun Zhou,† Xuanxuan Chen,† Moshe Dolejsi,† Gordon Craig,† Paulina Rincon Delgadillo,‡ Tamar Segal-Peretz,§ Paul F. Nealey*,†,∥ †Institute for Molecular Engineering, University of Chicago, Chicago, Illinois 60637, United States ‡imec, Kapeldreef 75, B-3001 Leuven, Belgium §Department of Chemical Engineering, Technion − Institute of Technology, Haifa 3200003, Israel ∥ Materials Science Division, Argonne National Laboratory, Lemont, Illinois, 60439, United States KEYWORDS: block copolymer, directed self-assembly, kinetics, TEM tomography, defects

ACS Paragon Plus Environment

1

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 3 of 38

ABSTRACT Directed self-assembly (DSA) of block copolymers (BCPs) can achieve perfectly aligned structures at thermodynamic equilibrium, but the self-assembling morphology can become kinetically trapped in defective states. Understanding and optimizing the kinetic pathway toward domain alignment is crucial for enhancing process throughput and lowering defectivity to levels required for semiconductor manufacturing, but there is a dearth of experimental, threedimensional studies of the kinetic pathways in DSA. Here, we combined arrested annealing and TEM tomography to probe the kinetics and structural evolution in the chemoepitaxy DSA of PSb-PMMA with density multiplication. During the initial stages of annealing, BCP domains developed independently at first, with aligned structures at the template interface and randomly oriented domains at the top surface. As the grains coarsened, the assembly became cooperative throughout the film thickness and a metastable stitch morphology was formed, representing a kinetic barrier. The stitch morphology had a three-dimensional structure comprised of both perpendicular and parallel lamellae. Based on the mechanistic information, we studied the effect of key design parameters on the kinetics and evolution of structures in DSA. Three types of structural evolutions were observed at different film thicknesses: 1) immediate alignment and fast assembly when thickness < L0 (L0 = BCP natural periodicity); 2) formation of stitch morphology for 1.25 - 1.45L0; 3) fingerprint formation when thickness > 1.64L0. We found that the DSA kinetics can be significantly improved by avoiding the formation of the metastable stitch morphology. Increasing template topography also enhanced the kinetics by increasing the PMMA guiding surface area. A combination of 0.75L0 BCP thickness and 0.50L0 template topography achieved perfect alignment over 100 times faster than the baseline process. This

ACS Paragon Plus Environment

2

Page 4 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

research demonstrates that an improved understanding of the evolution of structures during DSA can significantly improve the DSA process.

ACS Paragon Plus Environment

3

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 5 of 38

ACS Paragon Plus Environment

4

Page 6 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

I.

INTRODUCTION

Directed self-assembly (DSA) of block copolymers (BCPs) has received considerable attention in recent years from both academia and industry for applications in advanced lithography and semiconductor patterning.1–3 DSA harnesses lithographically defined templates with chemical contrast and/or topography to precisely control the placement of self-assembled BCP nanostructures.4,5 The BCP can spontaneously interpolate between the lithographic features, multiplying the feature density and patterning resolution.6,7 High levels of perfection and pattern complexity have been achieved and DSA-based device integration has been demonstrated.8–10 For DSA to be applicable in high volume semiconductor manufacturing, challenges remain in defect reduction to reach the industrial target of less than 1 defect per 100 cm2 area within process-friendly timescales. Over the last decade, the evaluation and optimization of DSA have been mainly based on structures at thermodynamic equilibrium. The defect performance of various template designs, including pitch, guide stripe width, template topography and background chemistry, were evaluated experimentally at high thermal annealing temperatures and long annealing times.11–14 Accordingly, theoretical calculations were also aimed at identifying the conditions that led to the lowest free energy at equilibrium.11,15,16 These extensive optimization efforts have led to significant progress in defect reduction. For the DSA of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA), one of the most promising systems for industrial applications, zero dislocation defects can be achieved on an optimized template with long annealing times at high temperatures.17

ACS Paragon Plus Environment

5

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 7 of 38

Recent theoretical investigations have shown that the free energy of defects is much higher than that of the aligned state, indicating that defects are not equilibrium structures but rather local, metastable deviations that are kinetically trapped.18–21 Hur et al. showed that even a simple dislocation pair can have multiple kinetic barriers along the annihilation pathway that can trap the morphology in a defective state. Larger and more complex defects can have even higher barriers, which are increasingly difficult to annihilate.20 Indeed, in a recent experimental study using in-situ atomic force microscopy (AFM), Raybin et al. showed that domain alignment occurs irreversibly but that the process is mitigated by metastable states with uphill kinetic barriers.22 Thus, complete defect annihilation requires extensive annealing. Considering the importance of kinetic barriers, process optimizations that only focus only on lowering the energy at equilibrium may not necessarily lead to the best conditions for DSA. For instance, although BCPs with higher segregation strength (χN) have larger energy penalties for defective states, energy barriers for defect removal are also higher, making it more likely for defects to be trapped.20 These results indicate that it is necessary to consider holistically the thermodynamic pathway toward alignment when designing DSA processes. Of particular importance are the initial stages of annealing as the early morphologies serve as a template for the later stages and can lead to the formation of metastable structures.18 Here we investigate the kinetics and evolution of structures in the DSA of lamella-forming PSb-PMMA (natural periodicity L0 = 28 nm), especially during the early stages of annealing. Using arrested annealing and ex situ scanning transmission electron microscope (STEM) tomography, we were able to study the initial events of structural evolution with detailed, three-dimensional (3D) visualization. We observed a transition from independent to cooperative assembly through the film thickness. The kinetic evolution was modulated by a metastable stitch morphology, and

ACS Paragon Plus Environment

6

Page 8 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

we used STEM tomography to determine that its structure was comprised of both parallel and perpendicular lamellae. Based on the mechanistic information, we systematically investigated the effect of DSA process parameters on the kinetic evolution. We varied the film thickness (tf) from 0.5 to 1.64L0 and three thickness regimes were identified that had distinctive structural evolutions and assembly kinetics. Eliminating the formation of the stitch morphology by making tf < L0 resulted in significantly faster assembly. We observed through-film PS microbridges in the film with tf = 0.5L0. We varied the template topography from 0.14 to 0.50L0 and found that higher topography led to faster assembly with similar structural evolution. The chemical composition of the background brush did not significantly affect the kinetic evolution. Lastly, the combined condition of 0.75L0 BCP thickness and 0.50L0 template topography had over 100 times faster assembly than the baseline process. II.

EXPERIMENTAL SECTION A. Materials. Cross-linkable polystyrene (X-PS, AZEMBLY NLD-128), hydroxyl-

terminated P(S-r-MMA) brush (AZEMBLY NLD-127, 51% PS (mole fraction)), and PS-bPMMA with L0 = 28 nm (AZEMBLY PME-312) were provided by EMD Performance Materials and used as received. Silicon wafers coated with 30 nm of silicon nitride on both sides were purchased from WRS Materials. B. Chemical pattern fabrication and BCP assembly. Chemical patterns were prepared on a 300 mm wafer process line at imec in Leuven, Belgium, following previously reported methods.23 Briefly, an 8-nm-thick layer of X-PS was spin-coated onto the substrate and thermally crosslinked at 315 °C for 5 min in a nitrogen environment. The wafers were then coated with resist and patterned with immersion lithography or e-beam lithography to form line

ACS Paragon Plus Environment

7

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 9 of 38

and space patterns. The resist line pattern was etched into the X-PS layer using oxygencontaining plasma. The etched resist lines had a pitch of LS = 84 nm and the line width was W = 21 nm. After resist removal, a 50-nm-thick layer of P(S-r-MMA) brush was coated onto the patterned substrate and annealed at 250 °C for 5 min to graft onto the exposed substrate between X-PS guiding stripes. The excess brush was rinsed with propylene glycol methyl ether acetate (PGMEA), leaving a 5.5-nm-thick brush layer between the guiding stripes. A small amount of brush also grafts onto the guiding stripe, increasing the thickness of guiding stripes to 9.5 nm.13 The thickness of the chemical template was determined by AFM measurements. A 35-nm-thick layer of PS-b-PMMA was spin-coated onto the chemical pattern. The samples were then annealed on a hotplate under nitrogen environment at 190 °C or 250 °C for various times and quenched to room temperature on an aluminum block. C. Membrane fabrication for tomography. Samples for tomography analysis were prepared using a silicon back etch method as previously reported.24 Silicon wafers with silicon nitride coated on both sides were used for sample fabrication. Imaging windows were patterned into the backside nitride, and tungsten alignment marks were deposited onto the front side. Then chemical patterns were fabricated on the front side using e-beam lithography and DSA was performed following the procedure described in the above section. After DSA, the wafer was placed in a protective holder that sealed off the front side polymer film. The setup was then immersed in a 30 wt% potassium hydroxide solution at 90 °C. The exposed silicon on the backside was removed until the etching reached the silicon nitride on the front side, creating 30nm-thick membrane imaging windows. The membrane samples were then treated with sequential infiltration synthesis (SIS) to stain the PMMA block with alumina.25

ACS Paragon Plus Environment

8

Page 10 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

D. Characterization. Scanning electron microscopy (SEM) was performed on a Carl Zeiss Merlin SEM using the InLens detector at 1kV voltage. STEM tomography was performed on an FEI Tecnai TEM following a previously reported procedure.26 A series of STEM images were acquired at tilt angles ranging from -68° to +68° and reconstructed into a full 3D volume using Inspect 3D® software. Two-dimensional (2D) slices and cross-sections were then extracted from the 3D volume using ImageJ. AFM measurements were performed on Bruker Multimode 5 AFM in tapping mode. Measurements of tf were performed on a JA Woollam alpha-SE ellipsometer at 70° incident angle and the data was fitted using the Cauchy model. E. Image analysis. The degree of alignment was determined by counting the portion of each image where the orientation of the domains was in line with the underlying chemical pattern. To determine the orientation of the domains each raw SEM image was processed using a script written in Python using the Numpy framework. First each image was denoised using a total variational denoising with the Split Bregman method as implemented in scikit.27 This denoising method that preserves domain edges while removing gaussian random noise present in the raw images.28 The denoised image was then thresholded using a local thresholding algorithm with a window size of 1.5L0. The thresholded image was then used to find the edge of each domain by subtracting a binary eroded image from the original thresholded image. Next the center of each domain was found through skeletonization of the thresholded image. For each pixel on the edge of a domain, the closest center domain pixel was found. The difference in the coordinates of these pixels was then used to calculate the angle from each edge pixel to its own closest center pixel. The degree of alignment was then determined by dividing the number of edge pixels aligned with the template from the total number of edge pixels. III.

RESULTS AND DISCUSSION

ACS Paragon Plus Environment

9

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 11 of 38

The LiNe flow of DSA of lamella-forming PS-b-PMMA has been implemented on 300 mm wafer production tracks at imec and has reached very low defect levels as a result of extensive optimization.23,29 Zero dislocation defects per cm2 can be achieved by annealing at 250 °C for 30 min on an optimized template.17 The best LiNe flow conditions were used as the basis of our kinetic study. The process of LiNe flow of DSA is shown in Figure 1.6,13 Photoresist was coated on top of a cross-linked polystyrene (X-PS) mat and lithographically patterned into lines and spaces. Then the photoresist lines were trimmed to the desired width and transferred into the XPS mat using plasma etching. After the resist was stripped, an end-functional P(S-r-MMA) brush polymer was coated on the pattern and was annealed to graft to the spaces between the X-PS lines. Then excess brush material was rinsed away with solvent to reveal chemical patterns consisting of X-PS guiding stripes and brush-coated background regions. The BCP film was deposited on the chemical pattern and thermally annealed for different times to self-assemble. The period of the guiding stripes (LS = 84 nm) was three times the natural period of the BCP (L0 = 28 nm), such that the BCP domains were able to interpolate between the guiding stripes and form aligned structures at 3 times the density of the original template. Optimum defect levels were achieved with a line width of W = 0.75L0 and a backfill brush composition of 51% PS, for films with a BCP thickness of 35 nm (1.25L0).11,30,31

ACS Paragon Plus Environment

10

Page 12 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 1. Schematic of the chemical pattern formation and DSA process. A crosslinked layer of polystyrene mat was coated, patterned and trimmed to form guiding stripes. An endfunctionalized random copolymer brush was then coated and grafted in between the guiding stripes over the exposed background region. Excess brush material was subsequently rinsed. Finally the block copolymer was coated onto the chemical pattern and annealed to self-assemble.

We investigated the evolution of BCP structures during the DSA process through arrested annealing studies. Previous experimental studies on BCP kinetics used either ex-situ arrested annealing32,33 or more recently in-situ high speed AFM.22,34,35 The in situ AFM was capable of closely tracking the evolution of specific defects at a fixed location during the annealing process. However, it was difficult for the in-situ AFM to capture the early stages of annealing as the instrument required several minutes to stabilize before imaging could begin. On the other hand, ex-situ arrested annealing can capture the representative and overall morphology formed at very short annealing times (down to several seconds) by quickly quenching the sample below glass transition to freeze the morphology. In addition, electron microscopy characterization, which is desired because of its high resolution and 3D characterization capabilities, can only be performed using ex-situ experiments since the electron beam will crosslink the BCP sample during imaging. To perform a systematic ex-situ study, equivalent samples prepared at the same time were annealed on the hot plate for different time durations and immediately quenched to room

ACS Paragon Plus Environment

11

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 13 of 38

temperature. The samples were not reannealed after imaging. An annealing temperature of 190 °C was chosen because the relatively slow kinetics at this temperature enabled us to capture the early stages of the structural evolution. The assembly process using thermal annealing at 190 °C is shown in Figure 2a. Samples were annealed for eight different times from as-cast (0 s) to fully aligned. In the SEM images, bright domains correspond to PS while dark domains correspond to PMMA. Phase separation between PS and PMMA domains started within 12 s of annealing but the lamellar morphology was not well-formed until after 45 s of annealing. At 5 min, a distinctive “stitch” morphology was apparent in the top-down SEM image, characterized by wide, dark lines along the template direction and short segments oriented orthogonally to the template direction. Small patches of well-aligned domains were also formed. Then from 25 min to 230 min of annealing, the stitch defects were gradually annihilated and the aligned grains grew. At 620 min, most of the stitch defects were annihilated into aligned domains or disclinations and dislocations. Finally, after 1200 min of annealing the domains became fully aligned. The assembly process observed here is in good agreement with previous results obtained by in-situ AFM.22 As a comparison, we also studied the assembly at 250 °C, which is a commonly used annealing temperature for fast processing (Figure 2b). Here, the kinetics were much faster, with full alignment achieved within 2 min of annealing. Nonetheless, the structure evolution followed the same trend as that of the 190 °C annealing, including the formation of a stitch morphology which was gradually annihilated at later stages of the annealing. It is important to note that, for PS-b-PMMA, the difference in surface energies of the two blocks is small in the temperature range used here and the Flory-Huggins interaction parameter χ of the material is also relatively insensitive to temperature.36,37 As shown in Figure 2b, the assembly at 250 °C was very fast,

ACS Paragon Plus Environment

12

Page 14 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

making it difficult to capture the onset of phase separation and grain nucleation. By choosing 190 °C as the annealing temperature, we can better capture the early stages of annealing without altering the assembly process.

Figure 2. SEM images of the kinetic evolution at (a) 190 °C and (b) 250 °C. The brighter domains correspond to PS and the darker domains correspond to PMMA. Though the speed of

ACS Paragon Plus Environment

13

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 15 of 38

assembly is vastly different, the stages of evolution are similar at both temperatures. A characteristic stitch morphology is formed after phase separation and remains for a large portion of the assembly process. The stitch morphology and other defects are eventually annihilated to form fully aligned domains.

Ordering mechanism in early stages of DSA Many of the transient states that occur during DSA, especially in the early stages of annealing, involve complicated 3D structures that cannot be fully observed with top down metrology. Previous studies have used X-ray scattering to probe the 3D evolution of structures.38,39 However, X-ray techniques can only capture the averaged structure and it is very difficult to reconstruct fully the complicated and irregular shapes that exist in transient states. Recently, scanning transmission electron (STEM) tomography has been demonstrated as a powerful technique for probing 3D BCP structures, and it is especially suitable for visualizing complex and non-uniform 3D morphologies.25,26,40 We used STEM tomography to study the initial structure immediately following phase separation within 12 s of annealing at 190 °C (Figure 3a) as well as the stitch morphology formed after 5 min of annealing (Figure 3b). Samples for tomography analysis were prepared using a silicon back etch method as previously reported.24 For STEM imaging, the PMMA domains were stained with alumina using SIS to enhance imaging contrast.25,41 Thus, the bright domains in the STEM images correspond to PMMA and the dark domains correspond to PS, opposite of the SEM images. For the initial DSA structure after 12 s of annealing (Figure 3a), a clear disconnect between the morphologies at the top versus at the bottom of the film can be seen in the digitally sliced xy images, parallel to the substrate, obtained from the tomographic data. At the top surface (z = 35.6 nm), the BCP had spinodal-like randomly oriented domains. But in the middle of the film above

ACS Paragon Plus Environment

14

Page 16 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

the guide stripes (z = 15.2 nm), the domains tended to form discontinuous lines along the guide stripe direction. Below the top of the guide stripes (z = 6.4 nm), PMMA preferentially wetted the oxidized X-PS sidewalls (blue arrows) and dot-like PMMA domains were formed in the center of the background region (orange arrow), indicating that the domains started to register to the guide stripes from the bottom immediately after phase separation. The independent nature of the initial structures formed at the top and the bottom of the film was verified in the digitally sliced xz cross-sections. In Figure 3b, individual xz cross-sections were summed along the y direction to obtain averaged domain positions. At the bottom of the film, alternating PS and PMMA domains matching the BCP natural periodicity were already registered relative to the guiding stripes. In contrast, the top of the film lacked well-defined features in the summed image due to the random orientations of the domains. After 3 min of annealing, the stitch morphology was formed, as seen in the tomography results shown in Figure 3c. On top of the X-PS guiding stripes (marked by red trapezoids), lamellae were oriented perpendicular to the substrate, including both aligned lamellae and short stitches orientated orthogonal to the guiding stripes. Over the background regions between the guiding stripes, the morphology appeared to be featureless in all three xy slices but the brightness shifted from dark to bright to dark from the top to the bottom slices, indicating the formation of PSPMMA-PS parallel lamellae. The parallel lamellae structure can also be seen in the yz crosssection (Figure 3d). The blue arrows show the location of PS domains and the orange arrow shows the PMMA domain. The interface between the alternating perpendicular and parallel lamellae resembles a Scherk’s first surface, minimizing unfavorable PS-PMMA contact. At the same time, there were also small grains of aligned lamellae that extended through most of the thickness of the film (yellow dashed circles). Interestingly, the lamellae split up into PMMA dots

ACS Paragon Plus Environment

15

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 17 of 38

at the bottom, similar to the PMMA dots found close to the substrate (z = 6.4 nm) after 12 s of annealing (Figure 3a right panel).

ACS Paragon Plus Environment

16

Page 18 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 3. STEM tomography of the 3D structures after 12 s (a and b) and 3 min (c and d) of annealing at 190 °C. The brighter domain corresponds to PMMA and the dark domain corresponds to PS. The red trapezoids mark the x positions of the guiding stripes in the images. (a) Digitally sliced images of the xy plane, parallel to the substrate, obtained from the tomographic data after 12 s of annealing. The blue arrows point to the sidewalls of one of the guiding stripes and the orange arrow points to one of the PMMA dots. The distance of the slice from the substrate is shown above each image, with 35.6 nm corresponding to the total thickness of the film. (b) Averaged domain positions obtained by summing of individual xz cross-sections along the y. (c) Similar xy plane images as those in (a), but taken after 3 min of annealing. The orange dashed lines represent the location of the yz cross-sections; the yellow dashed circles show the location of aligned domains. (d) yz cross-section from the location of the orange dashed lines in (c). The blue arrows show the position of PS domains in the cross-section and orange arrows shows the position of the PMMA domain. Scale bars for all images represent 50 nm.

The ordering mechanism in the early stages of DSA can be inferred from the evolution of 3D structures in Figure 3. First, microphase separation occurs simultaneously throughout the film following the kinetics of spinodal decomposition, but different initial structures are formed locally at the top and bottom (Figure 3a). Randomly oriented domains are formed at the nonpreferential free surface, but chemical and topographical contrast drive immediate alignment in the vicinity of the template. As grains coarsen throughout the film in all three dimensions, the initial domains quickly converge in the z direction, which is only ~1L0 thick. From this point forward, the morphologies at the top and bottom are no longer independent but evolve in a cooperative manner under the influences of both boundaries, leading to the formation of a stitch morphology (Figure 3c), which is different from either of the two initial structures. It is worth noting that the initial PMMA dots at the bottom are only metastable and are rarely seen after 3 min of annealing, even though they were initially aligned along the template. During the formation of the stitch morphology, there were also small grains where the aligned morphology managed to extend to the top surface. Based on previous studies,20,22 these fully aligned lamellar domains should never relapse to a defective state due to the large difference in free energy between the aligned and non-aligned states, and these grains will gradually grow until defects are

ACS Paragon Plus Environment

17

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 19 of 38

annihilated. The initially independent development of registered structures at the bottom and randomly oriented structures at the top was similar to the simulations results by Edwards et al. and Müller et al..33,42 However the stitch morphology was not observed in those studies possibly because they used 1:1 DSA instead of the 3:1 pattern multiplication in this work. The stitch morphology is formed under the influence of the chemical pattern and, to the best of our knowledge, has never been observed in non-templated films or in the bulk. In the previous in-situ AFM study,22 it was shown that the stitch morphology has a thermodynamic barrier of 80 ± 20 kBT. Compared to simple defects such as dislocation pairs which typically have a thermodynamic barrier of ~20 kBT,20 the stitch morphology has a significantly higher barrier, making it harder to annihilate. In addition, the orthogonally oriented stitches often connect and evolve into large grains of disclinations and dislocations, slowing their annihilation even further.22 Therefore it is necessary to develop strategies and optimize the process to avoid forming structures that would be trapped in the stitch phase. Impact of film thickness (tf) To optimize the DSA process, we first investigated the influence of tf on the kinetic evolution of structures. The thickness of the BCP layer was varied from 0.57L0 to 1.64L0 while all other DSA parameters were kept the same. The samples were annealed at 190 °C and the structural evolution during the first 5 min of annealing was characterized using arrested annealing and SEM imaging (Figure 4). The speed of alignment was much higher in thinner films. Figure 4 clearly shows that the alignment process was faster in thinner films: within 5 min, the 0.57L0 and 0.75L0 films were fully aligned but in films thicker than 1.25L0 the aligned grains were only about 200 nm in size. Given the 1200 min required to fully align the 1.25L0 film, the assembly in

ACS Paragon Plus Environment

18

Page 20 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

films thinner than 0.75L0 was over 200 times faster. The improvement in kinetics was highly disproportionate compared to the 40% reduction in tf. In addition to the speed of alignment, the evolution pathway also varied with tf. Over the range of tf studied here, the evolution could be categorized into three distinctive regimes, as shown in Figure 4. First is the thin film regime (green), characterized by fast assembly without stitch formation. When tf < L0, alignment started within 25 s and the stitch morphology was not observed. Dislocations and disclinations were quickly annihilated with further annealing and the films were fully aligned within 5 min. The second regime (blue), when L0 < tf < 1.45L0, was characterized by the formation of the metastable stitch morphology. The stitch morphology was formed in the first 2 min of annealing and persisted through hours of annealing, following the same evolution as described in Figure 2. Lastly, for the film with tf = 1.64L0, a third type of structural evolution was observed (red). In this thick film regime, a fingerprint pattern was first formed at the top surface in 45 s similar to the unguided selfassembly, with no indication of the underlying template. The formation of the stitch morphology was not observed, but the speed of alignment was as slow as in the second regime.

ACS Paragon Plus Environment

19

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 21 of 38

Figure 4. SEM images showing the structural evolution of films with various thicknesses during the first 5 min of annealing at 190 °C. Films less than 1L0 thick are fully aligned within 5 min while thicker films have much slower kinetics. The evolution of structures can be categorized into three regimes: thin film regime (green), stitch morphology regime (blue) and thick film regime (red). Scale bar is 200 nm.

These results demonstrate that DSA kinetics and the stability of the stitch morphology are highly dependent on the film thickness. In particular, we attribute the fact that the stitch morphology was only observed when L0 < tf < 1.45L0 to the stability of the parallel lamellae over the background region in this morphology. Generally, the orientation of lamellae in a thin film is the result of the complex interplay among surface energy, interfacial energy, and thickness commensurability.43,44 Changing the tf to incommensurate values disfavors the formation of

ACS Paragon Plus Environment

20

Page 22 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

parallel lamellae, which in turn destabilizes the stitch morphology. In the thick film regime (tf = 1.64L0), there is no significant improvement in kinetics even though no stitch morphology is observed. This could be explained through the two-stage ordering mechanism described in the previous section: independent grain growth at first, followed by cooperative assembly. As the films thickness increases, more time is required to reach the cooperative stage of assembly, and the randomly oriented grains at the top surface increase in size. As a result, the free energy barriers to alignment of the misoriented grains become higher, and longer annealing is needed for alignment. The structural evolution in the thick film regime is in good agreement with a previous study by Welander et al.45 Welander et al. showed that for much thicker films (> 10L0), the top surface first formed fingerprint patterns and the randomly oriented grains increased in size with longer annealing times, regardless of the template. Following this initial grain growth, the top surface began to organize with respect to the underlying template, indicating cooperative assembly. In contrast, films thinner than L0 in this study were quickly able to enter the cooperative stage of assembly before large randomly oriented grains formed. As their thickness also disfavors stitch formation, these thinner films show much faster kinetics compared to the other two thickness regimes. In the SEM images of the BCP film with thickness of 0.57L0 (Figure 4), it can be seen that part of the PMMA domains over the background region were broken by PS bridges. The number of these bridges decreased during annealing, but they persisted even though the film was fully aligned. To better understand these bridge defects we investigated their 3D structure using STEM tomography (Figure 5). The digitally sliced ‫ ݕݔ‬slices show that the bridges exist at the same locations in all three slices, indicating that they are through-film structures. The bridges only appear on the PMMA domain over the background region and are located randomly along

ACS Paragon Plus Environment

21

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 23 of 38

the direction of the guiding template. Based on this result, 0.75L0 represents the optimal tf because it had fast kinetics but did not have bridge defects.

Figure 5. STEM tomography showing bridge structures in the fully aligned structure of a BCP film with thickness 0.57L0. The red trapezoids mark the locations of the guiding stripes in the underlying chemical pattern. The blue arrows point to one of the PS bridges. The xz crosssections are summed along the y direction. Scale bars are 50 nm.

The PS bridges had shapes similar to the bridge defects found in previous studies after transferring the BCP pattern into the underlying substrate.29,31 However, the bridges observed in the previous studies were not through-film structures but existed underneath the film’s surface. The deleterious effect on pattern transfer caused by bridges that are formed only in part of the film’s thickness may be mitigated by tuning the etching conditions during pattern transfer. However, pattern transfer with through-film bridges will lead to defects in the substrate, and therefore it is desired to minimize the formation of through-film bridges. Both the geometry and

ACS Paragon Plus Environment

22

Page 24 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

the chemistry of the guiding template as well as the BCP composition are known to affect the formation of bridges.31 The discontinuous PMMA domains broken by bridges are reminiscent of the PMMA dots at the bottom of the film in the initial stages of annealing. Similar broken PMMA structures can also be found at the bottom of some aligned grains during the stitch phase (Figure 3c). It is likely that both of these broken PMMA domains stem from the initial metastable PMMA dots and the formation of initial PMMA dots is controlled by similar parameters as the bridges, namely BCP composition and template topography and chemistry. We expect that by optimizing the template design and BCP material, continuous and aligned lamellae could be formed immediately at the bottom upon annealing which would in turn lead to faster assembly and fewer metastable defects. Impact of template topography The topography of the template plays an important role in DSA processes.14,26 In the chemical patterns made with the LiNe flow, there is minimal template topography, with the top of the XPS guide stripe only 4.0 nm, or 0.14L0, higher than the surface of the background brush. To study the effect of the pattern topography, we increased the topography to 0.27L0 and 0.50L0 by increasing the thickness of X-PS, while keeping the line width constant at 0.75L0, as measured at the top of the guiding stripe. AFM measurements of the template topography after grafting the backfilling brush are shown in Figure 6a. A 1.25L0-thick BCP layer was then coated on the templates and the morphologies after 25 s and 5 min of annealing at 190 °C are shown in Figure 6b. At 25 s, the stitch morphology had formed in all samples. As the template topography increased, the fraction of aligned grains increased and the PS domains on top of the guiding

ACS Paragon Plus Environment

23

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 25 of 38

stripes appear brighter in the SEM images. At the 5 min mark, the 0.14L0 sample was still dominated by the stitch morphology. In contrast, large portions of the 0.27L0 and 0.50L0 films were already aligned, showing that higher topography greatly enhances the kinetics of alignment. For the 0.27L0 sample, small grains of stitch morphology can be observed after 5 min of annealing, suggesting that the evolution of structures stayed the same even though the speed of assembly was increased. The 0.50L0 sample was mostly aligned in 5 min, with some dislocations as well as broken PMMA lines, which could be remnants of the stitch morphology. With 0.50L0 topography, defect free DSA was achieved in 10 min. The 3D structure of the fully aligned sample after DSA was determined by STEM tomography (Figure 6c) to investigate the impact of tall guide stripes on the assembled structure. The xy slices show that the lamellae were well-aligned and continuous throughout the thickness of the film. The trapezoidal shape of the X-PS guiding stripe can be seen in the digitally sliced cross-section. The angled sidewalls of the guiding stripes created two PMMA populations with distinct through-film profiles: PMMA domains on the background had a straight profile (orange arrow), whereas PMMA domains adjacent to the sidewalls followed the topography of the guiding stripes and tapered at the bottom of the film (blue arrows). The lamellae had similar heights after annealing despite the template topography. The effect of topography on DSA is determined by the commensurability of the topography with polymer periodicity as well as the enthalpic interactions between the polymer and the surfaces. Incommensurate template topography causes the polymer domains to align orthogonally to the topographical features or develop defects due to molecular packing restrictions.26,46,47 In this study, the geometry of the template was designed to accommodate one PS domain on top of the guiding stripe and five polymer domains in between, satisfying the

ACS Paragon Plus Environment

24

Page 26 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

commensurability condition and facilitating alignment. As for the interfacial chemistries, sidewalls that are non-preferential to the polymer blocks result in orthogonal alignment, whereas highly preferential sidewalls promote alignment along the direction of the template.13,14,48 The sidewalls of the X-PS guiding stripes have been shown to be PMMA-preferential due to exposure to oxygen plasma during the etching process.13 Assuming similar sidewall angles, the area of the sidewalls increases linearly with the X-PS thickness, providing more guiding interfaces for the PMMA block.

ACS Paragon Plus Environment

25

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 27 of 38

Figure 6. Impacts of template topography on DSA kinetics. (a) The averaged cross-sectional profile from AFM measurement for the three template topographies: 0.14L0 (dashed green), 0.27L0 (dotted red), and 0.50L0 (solid blue). (b) SEM images showing the assembly after 25 s and 5 min of annealing; the images show that higher template topography leads to faster alignment. Bright domains are PS and dark domains are PMMA. The scale bar is 200 nm for all

ACS Paragon Plus Environment

26

Page 28 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

images. (c) STEM tomography of the assembled film with 0.50L0 template topography. The bright domain is SIS-stained PMMA and the dark domain is PS. Blue arrows mark the PMMA domains on the sidewalls of the guiding stripe and orange arrow marks the PMMA domain on the background. The scale bars in all images are 50 nm.

Impact of background chemistry Besides template geometry and film thickness, the chemical composition of the template also plays an important role in DSA because it controls the enthalpic interactions between the polymer and template.12 Previous work by Garner et al. studied the sensitivity of DSA to different brush chemistries and found that for DSA with 3X density multiplication, the largest process windows are achieved when the backfill brush is weakly PMMA-preferential.11 We investigated the impact of different background chemistries on DSA kinetics. The PS content in the random copolymer brush was varied from weakly PMMA-preferential (44~51%) to nonpreferential (56%), with 51% being the control. All other parameters were fixed with tf = 1.25L0 and a template topography of 0.14L0. The morphology after annealing at 190 °C for 45 s, 5 min, and 25 min are shown in Figure 7. Samples with all four brush compositions exhibit similar kinetics and have the same evolution of structures involving stitch formation. Compared to the impact of tf and template topography, the contribution of background chemistry is rather insignificant in determining the stability of stitch morphology and the overall kinetics of DSA.

ACS Paragon Plus Environment

27

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 29 of 38

Figure 7. Impacts of background chemistry on DSA kinetics. SEM images of structural evolution during the first 25 min of annealing on 4 different brush compositions shows that the background chemistry does not have a significant impact on the stability of stitch morphology. Scale bar is 200 nm and applies to all images.

Optimized DSA condition Based on the learnings from tuning individual DSA parameters, we studied the DSA kinetics of systems that have both reduced BCP tf and increased template topography. Figure 8a shows the structural evolution of a sample with 0.75L0 BCP thickness and 0.50L0 template topography when annealed at 190 °C. SEM characterization shows that the stitch morphology was not formed and a significant portion of the film was already aligned within 25 s. At 2 min, only a

ACS Paragon Plus Environment

28

Page 30 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

small number of dislocation pairs were left and the defect density had dropped to ~1/µm2. The polymers were perfectly aligned after 5 min of annealing.

Figure 8. Optimized DSA conditions. (a) SEM images of DSA films combining 0.75L0 BCP thickness with 0.50L0 template topography. This optimized condition greatly enhanced the DSA kinetics, yielding complete alignment within 5 min of annealing at 190 °C. The scale bar is 200 nm and applies to all images. (b) Comparison of the degree of alignment over time for different combinations of film thickness and template topography. A comparison of the DSA kinetics for different combinations of tf and template topography is shown in Figure 8b. The data represents the degree of alignment calculated from the SEM

ACS Paragon Plus Environment

29

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 31 of 38

images of each DSA condition. The degree of alignment here is defined as the percentage of aligned areas in each image and is calculated by determining the direction of each line segment with respect to the template. Due to the formation of the stitch morphology, the curve for the baseline condition (1.25L0 BCP thickness, 0.14L0 template topography, blue square in Fig. 8b) was mostly flat in the early phases. The degree of alignment even decreased for the first 4 data points as the stitches were aligned orthogonally to the template direction instead of the random orientation of the initial structures. After most of the stitches were annihilated, the speed of alignment increased as the remaining disclinations and dislocations were relatively quick to annihilate. In contrast, reducing tf to 0.75L0 (black triangle in Fig. 8b) or increasing template topography to 0.50L0 (green diamond) significantly enhanced the speed of alignment and their curves do not have a stagnant region in the beginning. Combining those two conditions (red circle) leads to further improvement in DSA kinetics and is more than 100× faster than the baseline process. The kinetics of DSA is closely related to the defectivity measured at long annealing times. Williamson and Seidel investigated the size of DSA process windows as a function of annealing time.49,50 They found that there exists a maximum process tolerance beyond which no alignment was observed regardless of annealing time. Within this maximum process tolerance, the conditions that led to the lowest defectivity were also the fastest to align, and then the process window for perfect alignment increased with additional annealing. We suggest that the maximum process tolerance represents the thermodynamic limit where misaligned structures have the same free energy compared to the aligned state such that there is no driving force toward alignment. However, within this thermodynamic limit, alignment is always preferred at equilibrium and the DSA process is kinetically controlled by the free energy barriers along the

ACS Paragon Plus Environment

30

Page 32 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

pathway toward alignment. All DSA processes that can eventually lead to alignment evidently already operate within the thermodynamic limit. Therefore, the key to faster, defect-free assembly lies in optimizing the kinetic pathway of DSA. The combined approach of arrested annealing and 3D metrology described here can be used to investigate the structural evolution in DSA and optimize the kinetics of DSA for defect-free assembly. IV.

CONCLUSIONS

We used the combination of arrested annealing and 3D metrology to investigate and optimize the kinetics and evolution of structures in chemoepitaxy DSA. During the initial stages of assembly, morphologies first developed independently at the top and bottom of the film and then evolved cooperatively as the grains coarsened. Alignment of domains started at the template interface immediately after annealing whereas the free surface only had randomly oriented domains. There also existed a metastable “stitch” morphology that created an undesirable barrier along the kinetic evolution. Its unique structure with both perpendicular and parallel lamellae made it sensitive to thickness changes. Three film thickness regimes were observed: a thin film regime in which alignment started immediately; an intermediate regime that formed the stitch morphology; a thick film regime, in which the fingerprint pattern first formed at the surface. The kinetics could be significantly increased by avoiding the formation of the stitch morphology in the thin film regime. It was also found that increasing the template topography could expedite the annealing process due to the enhanced driving force from the increased PMMA guiding surfaces. By systematically optimizing the kinetic evolution, it is possible to design a DSA process that minimizes free energy barriers along the thermodynamic landscape and ultimately eliminate defects in DSA.

ACS Paragon Plus Environment

31

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 33 of 38

AKNOWLEDGEMENT This work was supported by the U.S. Department of Commerce, National Institute of Standards and Technology under the award 70NHNB14H012 as part of the Center for Hierarchical Materials Design. This research used the cleanroom and microscopy resources at the Center for Nanoscale Materials, a U.S. Department of Energy Office of Science user facility operated by Argonne National Laboratory under Contract No. DE-AC02-06CH11357. Part of the work was conducted at the Pritzker Nanofabrication Facility at the University of Chicago.

ACS Paragon Plus Environment

32

Page 34 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

REFERENCES 1.

Darling, S. B. Directing the Self-Assembly of Block Copolymers. Prog. Polym. Sci. 2007, 32, 1152–1204.

2.

Jeong, S. J.; Kim, J. Y.; Kim, B. H.; Moon, H. S.; Kim, S. O. Directed Self-Assembly of Block Copolymers for next Generation Nanolithography. Mater. Today 2013, 16, 468– 476.

3.

Ji, S.; Wan, L.; Liu, C. C.; Nealey, P. F. Directed Self-Assembly of Block Copolymers on Chemical Patterns: A Platform for Nanofabrication. Prog. Polym. Sci. 2016, 54–55, 76– 127.

4.

Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Graphoepitaxy of Spherical Domain Block Copolymer Films. Adv. Mater. 2001, 13, 1152–1155.

5.

Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Epitaxial Self-Assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates. Nature 2003, 424, 411–414.

6.

Liu, C.-C.; Han, E.; Onses, M. S.; Thode, C. J.; Ji, S.; Gopalan, P.; Nealey, P. F. Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats. Macromolecules 2011, 44, 1876–1885.

7.

Cheng, J. Y.; Sanders, D. P.; Truong, H. D.; Harrer, S.; Friz, A.; Holmes, S.; Colburn, M.; Hinsberg, W. D. Simple and Versatile Methods To Integrate Directed Self-Assembly with Optical Lithography Using a Polarity- Switched Photoresist. ACS Nano 2010, 4, 4815– 4823.

8.

Gronheid, R.; Rincon Delgadillo, P. A.; Pathangi, H.; Van den Heuvel, D.; Parnell, D.; Chan, B. T.; Lee, Y.-T.; Van Look, L.; Cao, Y.; Her, Y.; et al. Defect Reduction and Defect Stability in IMEC’s 14nm Half-Pitch Chemo-Epitaxy DSA Flow. In Proc. SPIE 9049, Alternative Lithographic Technologies VI; Resnick, D. J.; Bencher, C., Eds.; 2014; p. 904905.

9.

Tsai, H.; Pitera, J. W.; Miyazoe, H.; Bangsaruntip, S.; Engelmann, S. U.; Liu, C.; Cheng, J. Y.; Bucchignano, J. J.; Klaus, D. P.; Joseph, E. A.; et al. Two-Dimensional Pattern Formation Using Graphoepitaxy of PS- b -PMMA Block Copolymers for Advanced FinFET Device and Circuit Fabrication. ACS Nano 2014, 8, 5227–5232.

10.

Liu, C.-C. C.; Franke, E.; Lie, F. L.; Sieg, S.; Tsai, H.; Lai, K.; Truong, H.; Farrell, R.; Somervell, M.; Sanders, D.; et al. DSA Patterning Options for FinFET Formation at 7nm Node. In Proc. SPIE 9777, Alternative Lithographic Technologies VIII; Bencher, C.; Cheng, J. Y., Eds.; 2016; p. 97770R.

11.

Garner, G. P.; Rincon Delgadillo, P. A.; Gronheid, R.; Nealey, P. F.; de Pablo, J. J. Design of Surface Patterns with Optimized Thermodynamic Driving Forces for the Directed SelfAssembly of Block Copolymers in Lithographic Applications. Mol. Syst. Des. Eng. 2017,

ACS Paragon Plus Environment

33

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 35 of 38

2, 567–580. 12.

Liu, C.-C.; Ramírez-Hernández, A.; Han, E.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Kang, H.; Ji, S.; Gopalan, P.; de Pablo, J. J.; et al. Chemical Patterns for Directed SelfAssembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features. Macromolecules 2013, 46, 1415–1424.

13.

Williamson, L. D.; Seidel, R. N.; Chen, X.; Suh, H. S.; Rincon Delgadillo, P. A.; Gronheid, R.; Nealey, P. F. Three-Tone Chemical Patterns for Block Copolymer Directed Self-Assembly. ACS Appl. Mater. Interfaces 2016, 8, 2704–2712.

14.

Cushen, J.; Wan, L.; Blachut, G.; Maher, M. J.; Albrecht, T. R.; Ellison, C. J.; Willson, C. G.; Ruiz, R. Double-Patterned Sidewall Directed Self-Assembly and Pattern Transfer of Sub-10 Nm PTMSS- b -PMOST. ACS Appl. Mater. Interfaces 2015, 7, 13476–13483.

15.

Detcheverry, F. a.; Liu, G.; Nealey, P. F.; de Pablo, J. J. Interpolation in the Directed Assembly of Block Copolymers on Nanopatterned Substrates: Simulation and Experiments. Macromolecules 2010, 43, 3446–3454.

16.

Takahashi, H.; Laachi, N.; Delaney, K. T.; Hur, S. M.; Weinheimer, C. J.; Shykind, D.; Fredrickson, G. H. Defectivity in Laterally Confined Lamella-Forming Diblock Copolymers: Thermodynamic and Kinetic Aspects. Macromolecules 2012, 45, 6253– 6265.

17.

Rincon Delgadillo, P. A. Origin of Defects in Directed Self-Assembly of Diblock Copolymers Using Feature Multiplication, University of Chicago, 2014.

18.

Li, W.; Müller, M. Directed Self-Assembly of Block Copolymers by Chemical or Topographical Guiding Patterns: Optimizing Molecular Architecture, Thin-Film Properties, and Kinetics. Prog. Polym. Sci. 2016, 54–55, 47–75.

19.

Li, W.; Müller, M. Defects in the Self-Assembly of Block Copolymers and Their Relevance for Directed Self-Assembly. Annu. Rev. Chem. Biomol. Eng. 2015, 6, 187–216.

20.

Hur, S.-M.; Thapar, V.; Ramírez-Hernández, A.; Khaira, G.; Segal-Peretz, T.; Rincon Delgadillo, P. A.; Li, W.; Müller, M.; Nealey, P. F.; de Pablo, J. J. Molecular Pathways for Defect Annihilation in Directed Self-Assembly. Proc. Natl. Acad. Sci. 2015, 112, 14144–14149.

21.

Li, W.; Nealey, P. F.; de Pablo, J. J.; Müller, M. Defect Removal in the Course of Directed Self-Assembly Is Facilitated in the Vicinity of the Order-Disorder Transition. Phys. Rev. Lett. 2014, 113, 1–5.

22.

Raybin, J.; Ren, J.; Chen, X.; Gronheid, R.; Nealey, P. F.; Sibener, S. J. Real-Time Atomic Force Microscopy Imaging of Block Copolymer Directed Self Assembly. Nano Lett. 2017, 17, 7717–7723.

23.

Rincon Delgadillo, P. A. Implementation of a Chemo-Epitaxy Flow for Directed Self-

ACS Paragon Plus Environment

34

Page 36 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Assembly on 300-Mm Wafer Processing Equipment. J. Micro/Nanolithography, MEMS, MOEMS 2012, 11, 031302. 24.

Ren, J.; Ocola, L. E.; Divan, R.; Czaplewski, D. A.; Segal-Peretz, T.; Xiong, S.; Kline, R. J.; Arges, C. G.; Nealey, P. F. Post-Directed-Self-Assembly Membrane Fabrication for in Situ Analysis of Block Copolymer Structures. Nanotechnology 2016, 27, 435303.

25.

Segal-Peretz, T.; Winterstein, J.; Doxastakis, M.; Ramírez-Hernández, A.; Biswas, M.; Ren, J.; Suh, H. S.; Darling, S. B.; Liddle, J. A.; Elam, J. W.; et al. Characterizing the Three-Dimensional Structure of Block Copolymers via Sequential Infiltration Synthesis and Scanning Transmission Electron Tomography. ACS Nano 2015, 9, 5333–5347.

26.

Segal-Peretz, T.; Ren, J.; Xiong, S.; Khaira, G.; Bowen, A.; Ocola, L. E.; Divan, R.; Doxastakis, M.; Ferrier, N. J.; de Pablo, J.; et al. Quantitative Three-Dimensional Characterization of Block Copolymer Directed Self-Assembly on Combined Chemical and Topographical Prepatterned Templates. ACS Nano 2017, 11, 1307–1319.

27.

van der Walt, S.; Schönberger, J. L.; Nunez-Iglesias, J.; Boulogne, F.; Warner, J. D.; Yager, N.; Gouillart, E.; Yu, T. Scikit-Image: Image Processing in Python. PeerJ 2014, 2, e453.

28.

Goldstein, T.; Osher, S. The Split Bregman Method for L1-Regularized Problems. SIAM J. Imaging Sci. 2009, 2, 323–343.

29.

Pathangi, H.; Chan, B. T.; Bayana, H.; Vandenbroeck, N.; Heuvel, D. Van Den; Look, L. Van; Rincon Delgadillo, P. A.; Cao, Y.; Kim, J.; Lin, G.; et al. Defect Mitigation and Root Cause Studies in 14 Nm Half-Pitch Chemo-Epitaxy Directed Self-Assembly LiNe Flow. J. Micro/Nanolithography, MEMS, MOEMS 2015, 14, 031204.

30.

Rincon Delgadillo, P. a.; Gronheid, R.; Lin, G.; Cao, Y.; Romo, A.; Somervell, M.; Nafus, K.; Nealey, P. F. Process Sensitivities in Exemplary Chemo-Epitaxy Directed SelfAssembly Integration. In Proc. SPIE 8680, Alternative Lithographic Technologies V; Tong, W. M.; Resnick, D. J., Eds.; 2013; Vol. 8680, p. 86801H.

31.

Williamson, L.; Kim, J.; Cao, Y.; Lin, G.; Gronheid, R.; Nealey, P. F. Impact of BCP Asymmetry on DSA Patterning Performance. In Proc. SPIE 9423, Alternative Lithographic Technologies VII; Resnick, D. J.; Bencher, C., Eds.; 2015; Vol. 9423, p. 942315.

32.

Harrison, C.; Adamson, D. H.; Cheng, Z. D.; Sebastian, J. M.; Sethuraman, S.; Huse, D. A.; Register, R. A.; Chaikin, P. M. Mechanisms of Ordering in Striped Patterns. Science (80-. ). 2000, 290, 1558–1560.

33.

Edwards, E. W.; Stoykovich, M. P.; Müller, M.; Solak, H. H.; de Pablo, J. J.; Nealey, P. F. Mechanism and Kinetics of Ordering in Diblock Copolymer Thin Films on Chemically Nanopatterned Substrates. J. Polym. Sci. Part B Polym. Phys. 2005, 43, 3444–3459.

34.

Tong, Q.; Sibener, S. J. Visualization of Individual Defect Mobility and Annihilation

ACS Paragon Plus Environment

35

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 37 of 38

within Cylinder-Forming Diblock Copolymer Thin Films on Nanopatterned Substrates. Macromolecules 2013, 46, 8538–8544. 35.

Tong, Q.; Zheng, Q.; Sibener, S. J. Alignment and Structural Evolution of CylinderForming Diblock Copolymer Thin Films in Patterned Tapered-Width Nanochannels. Macromolecules 2014, 47, 4236–4242.

36.

Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. Controlling Polymer-Surface Interactions with Random Copolymer Brushes. Science (80-. ). 1997, 275, 1458–1460.

37.

Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Temperature Dependence of the Interaction Parameter of Polystyrene and Poly(Methyl Methacrylate). Macromolecules 1990, 23, 890–893.

38.

Gu, X.; Gunkel, I.; Hexemer, A.; Gu, W.; Russell, T. P. An In Situ Grazing Incidence XRay Scattering Study of Block Copolymer Thin Films During Solvent Vapor Annealing. Adv. Mater. 2014, 26, 273–281.

39.

Liu, G.; Delcambre, S. P.; Stuen, K. O.; Craig, G. S. W.; de Pablo, J. J.; Nealey, P. F.; Nygård, K.; Satapathy, D. K.; Bunk, O.; Solak, H. H. Mechanism and Dynamics of Block Copolymer Directed Assembly with Density Multiplication on Chemically Patterned Surfaces. J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. 2010, 28, C6B13.

40.

Tavakkoli K G, a; Gotrik, K. W.; Hannon, a F.; Alexander-Katz, A.; Ross, C. a.; Berggren, K. K. Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films. Science 2012, 336, 1294–1298.

41.

Segal-Peretz, T.; Winterstein, J.; Biswas, M.; Liddle, J. A.; Elam, J. W.; Zaluzec, N. J.; Nealey, P. F. Staining Block Copolymers Using Sequential Infiltration Synthesis for High Contrast Imaging and STEM Tomography. Microsc. Microanal. 2015, 21, 611–612.

42.

Müller, M.; Li, W.; Rey, J. C. O.; Welling, U. Kinetics of Directed Self-Assembly of Block Copolymers on Chemically Patterned Substrates. J. Phys. Conf. Ser. 2015, 640, 012010.

43.

Suh, H. S.; Kang, H.; Liu, C. C.; Nealey, P. F.; Char, K. Orientation of Block Copolymer Resists on Interlayer Dielectrics with Tunable Surface Energy. Macromolecules 2010, 43, 461–466.

44.

Suh, H. S.; Kang, H.; Nealey, P. F.; Char, K. Thickness Dependence of Neutral Parameter Windows for Perpendicularly Oriented Block Copolymer Thin Films. Macromolecules 2010, 43, 4744–4751.

45.

Welander, A. M.; Craig, G. S. W.; Tada, Y.; Yoshida, H.; Nealey, P. F. Directed Assembly of Block Copolymers in Thin to Thick Films. Macromolecules 2013, 46, 3915– 3921.

46.

Kim, H.-C.; Rettner, C. T.; Sundström, L. Fabrication of 20 Nm Half-Pitch Gratings by

ACS Paragon Plus Environment

36

Page 38 of 38 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Corrugation-Directed Self-Assembly. Nanotechnology 2008, 19, 235301. 47.

Hong, S. W.; Huh, J.; Gu, X.; Lee, D. H.; Jo, W. H.; Park, S.; Xu, T.; Russell, T. P. Unidirectionally Aligned Line Patterns Driven by Entropic Effects on Faceted Surfaces. Proc. Natl. Acad. Sci. U. S. A. 2012, 109, 1402–1406.

48.

Park, S. M.; Stoykovich, M. P.; Ruiz, R.; Zhang, Y.; Black, C. T.; Nealey, P. F. Directed Assembly of Lamellae‐ Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates. Adv. Mater. 2007, 19, 607–611.

49.

Williamson, L. D. Investigation of Chemical Pattern Design and Block Copolymer Formulation on Directed Self-Assembly, University of Chicago, 2015.

50.

Seidel, R. N. The Impact of Substrate Interaction in Directed Self-Assembly of Symmetric Diblock Copolymer Thin Films, University of Chicago, 2015.

ACS Paragon Plus Environment

37