Enhanced Lateral Ordering in Cylinder Forming PS - American

Mar 9, 2016 - Valentina Gianotti,. ‡. Michele Laus,. ‡ and Michele Perego*,†. †. Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, I-20864 Agrate B...
0 downloads 0 Views 8MB Size
Subscriber access provided by - Access paid by the | UCSB Libraries

Article

Enhanced lateral ordering in cylinder forming PS-bPMMA block copolymers exploiting the entrapped solvent Gabriele Seguini, Fabio Zanenga, Tommaso Jacopo Giammaria, Monica Ceresoli, Katia Sparnacci, Diego Antonioli, Valentina Gianotti, Michele Laus, and Michele Perego ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.6b00360 • Publication Date (Web): 09 Mar 2016 Downloaded from http://pubs.acs.org on March 14, 2016

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Applied Materials & Interfaces is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Enhanced lateral ordering in cylinder forming PS-bPMMA block copolymers exploiting the entrapped solvent Gabriele Seguini,§,* Fabio Zanenga,§ Tommaso J Giammaria,§,† Monica Ceresoli,§,+ Katia Sparnacci,† Diego Antonioli,† Valentina Gianotti,† Michele Laus,† Michele Perego.§,*

§ Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, I-20864 Agrate Brianza, Italy. † Dipartimento di Scienze e Innovazione Tecnologica (DISIT), Università del Piemonte Orientale ‘‘A. Avogadro’’, INSTM, UdR Alessandria, Viale T. Michel 11, I-15121 Alessandria, Italy. + Università degli studi di Milano, Via Celoria 16, I-20133 Milano, Italy.

KEYWORDS Block Copolymers (BCP), Random Copolymers (RCP), PS-b-PMMA, solvent, correlation length, grain coarsening, rapid thermal processing (RTP)

1

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 34

ABSTRACT

The self-assembly of block copolymer (BCP) thin films produces dense and ordered nanostructures. Their exploitation as templates for nanolithography requires the capability to control the lateral order of the nanodomains. Among a multiplicity of polymers, the widely studied all-organic polystyrene-blockpolymethylmethacrylate (PS-b-PMMA) BCP can easily form nanodomains perpendicularly oriented with respect to the substrate, since the weakly unbalanced surface interactions are effectively neutralized by grafting to the substrate an appropriate poly(styrene-random-methylmethacrylate) P(S-rMMA) random copolymer (RCP). This benefit along with the selective etching of the PMMA component and the chemical similarity with the standard photoresist materials deserved for PS-bPMMA the role of BCP of choice for the technological implementation in nanolithography. This work demonstrates that the synergic effect of thermal annealing with the initial solvent naturally trapped in the basic RCP+BCP system after the deposition process can be exploited to enhance the lateral order. The solvent content embedded in the total RCP+BCP system can be tuned by changing the molecular weight and thus the thickness of the grafted RCP brush layer, without introducing external reservoirs or dedicated set up and/or systems. The appropriate supply of solvent supports a grain coarsening kinetics following a power law with a 1/3 growth exponent for standing hexagonally ordered cylinders.

2

ACS Paragon Plus Environment

Page 3 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

INTRODUCTION The self-assembly of block copolymer (BCP) thin films leads to microphase segregation of the constituent blocks into periodic nanoscaled domains. The characteristic domain size (d) and spacing (L0) of these nanostructures depend on the polymeric chain length N. The product ∙N, where  is the Flory–Huggins parameter, characterizes the strength of the repulsive interaction between the blocks and dictates the order-disorder boundary. The volume ratio between the two polymeric chains defines the morphology of the nanostructured features (lamellae, hexagonally ordered cylinders, bicontinuous cubic gyroids, and spheres packed on a body-centered cubic lattice).1 2 3 4 5 The wide tunability of the short-range characteristics (d, L0, morphology) encoded in the BCP chemical architecture (, N, volume ratio) makes the self-assembly of BCPs a promising nanofabrication tool in various applications such as templates for pattern transfer, scaffolds for nanofabrication, and porous films used as membranes. 6 7 8 9 10 11 BCPs give the opportunity to control the dimensions of the features without relying on complex apparatus or systems, but directly controlling the characteristics of the molecules. For nanolithographic applications, a further requirement concerns the control of the domain orientation with respect to the substrate. The capability to achieve a perpendicular orientation of the nanodomains is essential for their utilization as templates for subsequent additive or subtractive nanofabrication processes.12 Among the different strategies, surface neutralization through the grafting of a random copolymers (RCP) is the base option to balance the surface interactions.13 14 15 Specifically, the quite similar surface energies of all-organic polystyrene-block-polymethylmethacrylate (PS-b-PMMA) BCPs are easily neutralized by grafting to the surface the appropriate poly(styrene-randommethylmethacrylate) P(S-r-MMA) RCP.16 17 18 This benefit along with the good selective etching of the PMMA component with respect to the PS matrix and the chemical similarity with the standard photoresist materials lead to evaluate the integration of the PS-PMMA based copolymers in 3

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 34

nanolithographic pilot lines for the manufacturing of structures with minimum dimensions around 10 nm.19 20 21 22 23 In addition to the short-range characteristics (d, L0, morphology), mainly defined by the BCP chemical architecture, and to the capability to control the perpendicular orientation, easily achieved by the RCP grafting, a long-range lateral order is a key requirement for BCP integration in nanolithographic processes. BCP thin films are usually obtained by spin casting from dilute polymer solutions. During this deposition process, they reach a steady state condition that does not correspond to a thermodynamic equilibrium state. This kinetically trapped state results from the intrinsically slow dynamics of the polymeric chains.24 25 A huge research activity concentrated on the development of suitable strategies to drive the system toward an ordered equilibrium condition, removing defects in the self-assembled template and improving the long-range lateral order. The conventional strategy to promote lateral organization in BCP films commonly relies on an annealing step to enhance the polymeric chain mobility. This is usually accomplished either by thermal annealing (TA), (the mobility is enhanced elevating the temperature above the glass transition temperature (Tg) of the blocks) or by solvent vapor annealing (SVA), (the solvent plasticizes the polymers decreasing the effective Tg below room temperature).26 27 28 More complex approaches, introducing shear or electric field,29 30 chemically or topographical patterned substrates,31 faceted surfaces,32 and thermal or solvent gradients,33 34 have been investigated as well. In order to enhance the performances of the SVA and TA processes, two main routes are currently investigated. On one hand, TA is introduced to supplement SVA. Interesting results have been obtained adding thermal,35 microwave,36 or photo-thermal treatments37 to conventional SVA processes. On the other hand, to overcome the weaknesses (uniformity, reproducibility, throughput …) of standard SVA set-ups the introduction of external reservoirs of solvent has been tested. This idea has been 4

ACS Paragon Plus Environment

Page 5 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

implemented following several approaches, such as a pad above the BCP film,38 a cross-linked layer underneath the BCP layer,39 a proximal injection of solvent,40 or by means of immersion-induced selfassembly.41 Nevertheless, none of these attempts is free from drawbacks. Generally, all these approaches require the introduction of complex apparatuses and/or systems whose implementation in pilot lines is challenging.26 In this work, the problem is approached from a different perspective by exploring the possibility to take advantage of the solvent naturally trapped within the polymeric layer after the deposition process in order to boost the self-assembly and ordering process during a simple thermal treatment of the basic RCP+BCP system deposited on featureless substrates. This alternative strategy preserves the simplification introduced in the nanofabrication process by the BCP-based approach in which the domain morphology and dimensions are dictated by the BCP chemical architecture, without introducing any further constrain related to the need of dedicated substrates, additional supports, or complex set up. From this point of view, the proposed method is fully compatible with conventional photolithographic processes. Though the solvent embedded in the film can effectively supply a boost for the self-assembly, 42 there is only a limited amount of it available inside a basic RCP+BCP system. Moreover, in order to benefit from the presence of trapped solvent, the system needs to be driven to the target temperature very quickly, to reduce the solvent loss during the thermal heating thus maximizing the plasticization effect at the annealing temperature. 43 The aim of this approach is to increase the amount of solvent available during high temperature TA treatments. In particular, this work investigates the exploitation of the grafted RCP brush layer as an extensively distributed reservoir of solvent: the thicker the brush layer, the higher the amount of solvent available for the self-assembly of the BCPs. The use of brush layers of different thickness is coupled with the capability of a rapid TA (RTA) treatment to attain the desired temperature in few second, 5

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 34

preserving the solvent from significant evaporation during the heating stage of the TA process and simultaneously increasing the windows of accessible temperatures for the self-assembly without degradation of the polymers.43 44 42 The combination of all these elements results in a synergic effect of embedded solvent and high temperature RTA through a simultaneous and homogeneous processing of the sample. RESULTS AND DISCUSSION Variations in the molecular weight of the RCP cause differences in the limiting thickness of the grafted RCP brush layer. In case of not entangled RCPs, the final thickness of the grafted film depends on the RCP molecular weight. In a recent paper, we prepared RCP films with thickness ranging from 2 nm up to 18 nm depending on the molecular weight of the RCP. We demonstrated that very thin films (≈ 2 nm) formed by low molecular weight RCPs are extremely effective in neutralizing the substrate, inducing the perpendicular orientation of the self-assembled BCP deposited on top of them.18 In turn, the capability of the RCP to act as a solvent reservoir can take advantage from the thickness variation. We selected two representative PS-r-PMMA HO-terminated RCPs with molecular weight of 1.7 Kg∙mol-1 and 69 Kg∙mol-1 along with equal PS/PMMA composition. The corresponding thicknesses after the grafting process (i.e. spin coating, thermal treatment at 290 °C for 60 s, and washing in toluene of the ungrafted polymeric chains) are 2.2 ± 0.1 (thin brush layer) and 17.9 ± 0.5 nm (thick brush layer), respectively. The employed PS-b-PMMA BCP has a fixed molecular weight of 54 Kg∙mol-1.45 46 Styrene fraction in the PS-b-PMMA is 0.71, resulting in a cylindrical morphology upon phase separation. The selected molecular weight and composition places this BCP close to the minimum domain size and spacing for the low ≈ 0.04 at 150 °C PS-b-PMMA.45 47 The process conditions of the spin coating of the BCP were fixed in order to obtain a thickness of the BCP of ≈ 30 nm (≈ 1∙L0) for all the samples. The overall information about the two initial RCP+BCP systems under 6

ACS Paragon Plus Environment

Page 7 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

investigation are depicted in figure 1. The solvent of choice for all these experiments was toluene. The measurements of the amount of initial toluene for the total RCP+BCP systems was performed by Gas Chromatography-Mass Spectrometry (GC-MS) for the thin and thick brush layers. Collected data are represented in figure 1 as well. The amount of toluene trapped in the total RCP+BCP system just after the spinning of the BCP are 81 and 200 g/cm2 in the case of the thin and thick brush layers, respectively. Actually, the amount of solvent that undergoes the RTA in the total RCP+BCP system is higher in the sample with the thick brush layer than in the one with the thin brush layer. These data indicate that the solvent embedded in the RCP+BCP system before the RTA treatments is RCPdependent, with the grafted RCP brush layers that support the storage of solvent. An 8-time increase in the brush layer thickness evidences a parallel 2.5 gain in the initial toluene content of the RCP+BCP system. These two different conditions corresponding to the cases of thin an thick brush layers can be named as “solvent-poor” and “solvent-rich”, respectively, considering the relative content of toluene in the total RCP+BCP system.

7

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 34

Figure 1. Schematic picture of the two different RCP+BCP systems under investigation: 30 nm thick PS-b-PMMA BCP films were deposited on P(S-r-MMA) RCPs of different thickness. The histograms indicate the amount of toluene in the total RCP+BCP systems for the “thin” (2.2 nm) and for the “thick” (18.6 nm) RCP brush layers.

After the quantification of the differences in terms of initial solvent content for the RCP+BCP systems, the effects of the embedded solvent on the final arrangement of the nanodomains in the BCP films were investigated. The self-assembly and lateral ordering in the BCP were induced by means of RTA treatments with several combinations of tANN and TANN. Figure 2 collects representative plan view scanning electron microscopy (SEM) images of the BCP films deposited on thin brush layers for TANN values ranging from 160 to 240 °C (step 20 °C) and tANN values ranging from 1 to 900 s (step one order of magnitude, with 0.9 s ≈ 1 s). The qualitative inspection of these images outlines four different arrangements of the nanodomains upon the RTA process. For very short processing times (tANN ≈1-9 s) and relatively low temperatures (TANN ≈ 160-180 °C), clear evidence of phase separation is observed but the morphological arrangement takes place with no lateral order (Figure 2, grey images). For a single step increase in TANN (20 °C) or in the tANN (one order of magnitude), the ordering begins to increase as highlighted by the hexagonal organization of the PMMA cylinders in BCP films (Figure 2, blue images). Further increasing tANN and/or TANN results in ordered nanodomains extending over large areas (Figure 2, green images). Finally, for TANN = 240 °C and tANN = 90-900 s, inhomogeneities appear on the surface of the BCP layer (Figure 2, red images). Overall, these systematic data concerning the solvent-poor RCP+BCP system evidence a quite regular evolution of the lateral order during the selfassembly process as a function of the different combinations of tANN and TANN.

8

ACS Paragon Plus Environment

Page 9 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 2. Plan view SEM images of BCP films deposited over the “thin” RCP brush layer and subsequently annealed at different temperatures (TANN = 160, 180, 200, 220, and 240 °C) for different times (tANN = 1, 9, 90, and 900 s).

Figure 3 reproduces the plan view SEM images of the self-assembled BCP films in the case of the solvent-rich RCP+BCP system, for the same (tANN, TANN) combinations we considered in the case of the solvent-poor RCP+BCP system. Interestingly, these images depict the same evolution of the lateral order as a function of (tANN, TANN) that we observed in the case of BCP films deposited on thin brush layers. The qualitative inspection of the plan view SEM images for the solvent-rich and solvent-poor RCP+BCP systems corroborates the idea of a quite regular evolution of the lateral order during the self-assembly process, irrespective of the RPC-thickness. Moreover, in both cases the measured domain size and spacing are found to be d ≈ 13 nm and L0 ≈ 29 nm for all the (tANN, TANN) 9

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 34

combinations, indicating that the short-range dimensions (d, L0) of the self-assembled nanostructures are RCP-independent. Unguided self-assembly of BCPs on uniform substrates produces large composite defects that are not present in BCP films laterally confined within topographically or chemically defined patters. It is experimentally very difficult to follow the evolution of individual topological defects (dislocations for the translational order and disclinations for the orientational order) over large areas in BCP film deposited on non-patterned substrates.25 48 49 In this regard the measurement of the correlation length provides a quantitative indication of the evolution of lateral ordering and grain coarsening. This analysis requires the elaboration of the plan view SEM images to obtain the corresponding color maps.50 The different colored grains correspond to ordered domains of cylinders, aligned in a precise direction, with a repetition period of 60°. Then, from the color maps, it is possible to obtain information on the grain size in terms of correlation length () through the fitting of an autocorrelation function with an exponential decay function. As already reported by Majewski et al. the grain sizes and consequently the correlation length values obtained by this method are very conservative, due to the inclusion of the changes of orientation and of morphology connected regions as well.37 However, this analytical problem does not prevent a systematic comparison of the grain coarsening evolution for the two different solvent-poor and solvent-rich RCP+BCP systems as a function of the thermal treatment conditions (tANN, TANN).

10

ACS Paragon Plus Environment

Page 11 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 3. Plan view SEM images of BCP films deposited over the “thick” RCP brush layer and subsequently annealed at different temperatures (TANN = 160, 180, 200, 220, and 240 °C) for different times (tANN = 1, 9, 90, and 900 s).

Figure 4 compares the color maps resulting from the analysis of the SEM images (Figures 2-3, 2nd row) corresponding to the BCP films deposited on the thin (top row) and thick (bottom row) brush layers and processed in RTA for the different tANN at TANN = 180 °C. This temperature allows following the evolution of the system through the different stages of the self-assembly process, i.e. phase separation (tANN = 1 s), beginning of the ordering (tANN = 9 s), and grain coarsening (tANN = 90-900 s). The color maps highlight no difference in the grain sizes for the two different systems irrespective of tANN. Similar analysis was performed on the SEM images (Figures 2-3, 4th row) obtained processing the two systems 11

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 34

at TANN = 220 °C. Figure 5 shows the corresponding color maps for solvent-poor (top row) and solventrich RCP+BCP systems. For tANN ≤ 10 s the differences are indiscernible. Conversely, for tANN ≥ 90 s there is an evident increase of the grain sizes in the BCP films deposited on the thick brush layer i.e. in the solvent-rich RCP+BCP system. This analysis clearly highlights that while the short-range dimensions are unaffected by the RCP-thickness irrespective of the RTA process conditions, the lateral order evolution follows different paths in the two cases, depending on the specific (tANN, TANN) combinations.

Figure 4. Orientation color maps of BCP films treated at TANN = 180 °C for different tANN in the case of “thin” (top row) and “thick” (bottom row) RCP brush layers.

12

ACS Paragon Plus Environment

Page 13 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 5. Orientation color maps of BCP films treated at TANN = 220 °C for different tANN in the case of “thin” (top row) and “thick” (bottom row).RCP brush layers.

Figure 6a reports the calculated value of  as a function of tANN for the different TANN in the case of the solvent-poor (open symbols) and solvent-rich (solid symbols) RCP+BCP systems. The regular evolution of the values as a function of tANN at the different TANN induces to apply the time– Temperature-Superposition (tTS) procedure on the values. The tTS was individually applied to the solvent-poor and to the solvent-rich RCP+BCP systems. Holding the (tANN) curve at TANN = 160 °C as the reference, all the other curves are rigidly shifted of one order of magnitude towards longer tANN to match the one obtained at lower temperature. This procedure results in the master curves, reported in Figure 6b, describing the evolution of  as a function of an equivalent tANN = 160 °C. The master curves evidence the regular dependence of tfor the different TANN. This formal achievement confirms the pertinence of the tTS procedure both for solvent-poor and solvent-rich RCP+BCP systems. In particular we notice that the values of (t) remain quite low over the first two t decades, corresponding to (tANN, TANN) processing combinations that produce nanodomains with poor lateral order. The solid 13

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 34

lines represent the fitting of the experimental points. Notably, as presumed according to the Figures 45, the tTS procedure evidences the different evolution of t for the solvent-poor and solvent-rich RCP+BCP systems. In particular, the evolution of  as a function of the equivalent tANN follows a power law with exponent ≈ 1/3 for the solvent-rich RCP+BCP system. Differently, for the solvent-poor RCP+BCP system, the grain coarsening evolution follows a distinct dependence: the  value initially grows, following a path quite similar to the one observed for the solvent-rich RCP+BCP system, and subsequently levels off reaching a sort of saturation condition. Overall, the master curves indicates that the kinetics of the grain coarsening of the two systems are RCP-dependent. They follow a similar temporal dependence for short equivalent tANN, but they exhibit two different time evolutions for the long annealing times that determine a significant difference in the final lateral order of the systems. Indeed the maximum  values vary from ≈ 200 nm for the solvent-poor RCP+BCP system to ≈ 800 nm for the solvent-rich RCP+BCP system upon annealing at TANN = 220 °C for tANN = 900 s, that, according to the results of the tTS procedure, corresponds to an equivalent time of 10 6 s at 160 °C. For these specific process conditions, the presence of the thick RCP with the large initial solvent content induces a 4-time enhancement of  (from ≈ 7∙L0∙to ≈ 27∙L0).

14

ACS Paragon Plus Environment

Page 15 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 6. (a) Correlation lengths for BCP films annealed at different TANN as a function of tANN for the “thin” (solid symbols) and “thick” (open symbols) RCP brush layers. (b). Master curve from time-Temperature-Superposition of the correlation length data for the “thin” (solid symbols) and “thick” (open symbols) RCP brush layers. In both cases the reference temperature is TANN = 160 °C.

The RCP-dependent behavior of the two RCP+BCP systems described by the master curves (Figure 6b) allows singling out a particular temperature to deeply investigate the kinetics of the two systems. TANN = 220 °C is the temperature of choice because the self-assembly of the BCP occurs without reaching inhomogeneity conditions. In addition, at this temperature the enhancement effect on  for the solvent-rich RCP+BCP system is maximized. The detailed comparison for the two RCPs as a function of tANN follows both the grain coarsening evolution of the nanodomains and the residual amount of toluene in the system after the RTA process for both thin and thick RCP brush layers. The measurement of the residual toluene content upon RTA treatment was performed with the same GC-MS methodology employed for the measurement of the initial solvent content in the systems. The evolution of  as a function of tANN for the two systems is reported in Figure 7a. For the solvent-poor RCP+BCP system, the data show the occurrence of two distinct regimes, following two different power laws with a growth exponent 0.32±0.01 (≈ 1/3) for tANN ≤ 30 s and with an almost null exponent (≈ 0.02) for longer annealing times. Differently, for the solvent-rich RCP+BCP system the evolution of the lateral order is well described by a single power law with growth exponent of 0.33±0.01 (≈ 1/3). These data at TANN = 220 °C reproduce the evolutions of lateral order provided by the master curves at the reference TANN of 160°C.

15

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 7. (a) Correlation lengths of the annealed BCP films as a function of the tANN for the “thin” (blue squares) and “thick” (red circles) RCP brush layers. (b) Amount of toluene in the total RCP+BCP systems after thermal treatment of the BCP films as a function of the tANN for the “thin” (blue squares) and “thick” (red circles) RCP brush layers. Thermal treatments were performed at TANN = 220 °C.

Figure 7b reports the measurement of the residual amount of solvent in the total RCP+BCP system after the RTA at the corresponding process conditions. In both cases (solvent-poor and solvent-rich RCP+BCP system) most of the initial solvent is already desorbed for tANN = 1 s. This loss of solvent occurs during the heating ramp step of the RTA treatment. The relative drop in the amount of 16

ACS Paragon Plus Environment

Page 16 of 34

Page 17 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

embedded solvent is ≈ 65% irrespective of the RCP thickness, i.e. irrespective of the initial solvent content. After this early loss, the residual amount of toluene gradually decreases with tANN. The absolute finale values depend on the initial amount of solvent in the polymeric films; the residual toluene content is much higher in the solvent-rich than in the solvent-poor RCP+BCP system. Moreover, the residual amount of solvent in the solvent-rich RCP+BCP system after a prolonged RTA treatment (tANN = 900 s) is higher than in the solvent-poor RCP+BCP system in the case of the shortest RTA treatment (tANN = 1 s). That means that, irrespective of tANN, the residual solvent content in the solvent-rich RCP+BCP system is always higher than in the solvent-poor RCP+BCP system at the beginning of the RTA treatment. The different grain coarsening dependences for the two systems indicate an effect on the grain-growth mechanism rather than a simple change in the grain-growth rates. For the solvent-poor RCP+BCP system, the collected data permit to hypothesize that for tANN ≥ 30 s either the residual solvent content is not enough to guarantee sufficient polymeric chain mobility or the grain coarsening mechanism for  ≥ 200 nm needs even higher chain mobility to further increase the lateral order of the system. Conversely, for the solvent-rich RCP+BCP system the collected data indicate that the cooperative effect of solvent and temperature is sufficient to sustain the same grain coarsening process over the specific range of tANN we explored. The growth exponent keeps constant at 1/3 for all the explored tANN values, or, in a complementary view, for all the  values up to m scale. These experimental data imply several interesting considerations. The growth of the average grain size with a power law allows discussing this system in the context of statistical self-similarity i.e. assuming that the consecutive configurations of the coarsening structure are geometrically similar in a statistical sense.51 This peculiarity has already been observed in many different BCP-based systems. In particular, stripe patterns can be generated either by a single layer of laying cylinders or by thin films of aligned 17

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 34

lamellae. While, hexagonally packed structures can be obtained either by perpendicularly oriented cylinders or by spherical domains. In particular in the case of stripe patterns, the growth exponent for the grain coarsening process was theoretically predicted to be 1/3.52 Actually for lamellae forming BCPs a growth exponent close to ≈ 1/3 (0.29, precisely) was experimentally observed.53 Differently, for cylinder forming BCP the exponent was experimentally observed to be 1/4.54 According to topological considerations, lying cylinders morphology typically should exhibit a faster kinetics than lamellar-forming systems due to different diffusion pathways.55 However, it is worth to note that the measured growth exponent in the lamellar case was observed in system exhibiting a metastable hexagonal cylindrical phase under the lamellar features that can sustain the self-assembly process resulting in a larger growth exponent.53 Also in the case of sphere forming BCPs the grain coarsening scales with an exponent 1/4, even if the mechanisms of coarsening are expected to be different compared to the cylindrical morphology.24 50 Moreover, the cylindrical morphology exhibits the same growth exponent of 1/4 (with an exact experimental value of 0.28) irrespective of the orientation of the nanodomains with respect to the substrate.56 57 Recently, an exponent of 1/5 was observed, for cylinder forming BCP with maximum  values below one m, using a photo-thermal gradient approach.37 Overall, it is evident the difficulty to put all these data in a common framework to provide a comprehensive description of the grain coarsening process in these self-assembled polymeric systems. Besides, in addition to correlation length, different parameters have been introduced to measure the length scale of lateral order in self-assembled BCP films. For instance, the mean distances between disclinations and the mean length of the grain boundaries have been demonstrated to provide complementary information on grain coarsening since the kinetic exponents of the coarsening process can be understood considering the dominant annihilation mechanisms of topological defects.25 50 54 If we look at the kinetics of the defect annihilation, a different behavior has been experimentally observed 18

ACS Paragon Plus Environment

Page 19 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

during the early and the late stages (time scale of hours) of annealing, suggesting a variation in the defect annihilation mechanism. Moreover, theoretically a reduction from a 1/3 (at short time) to the 1/5 (at long time) exponent for the defect concentration has been predicted.58 Recently, following the evolution of the pattern defects, another simulation approach found a three stage temporal evolution of the grain coarsening with the exponent that decreases from 1/2 in the early stages to 1/5 in the intermediate stages, and finally to a null exponent in the late stages.59 The direct comparison of the experimental data reported in this work with the literature results is not straightforward. The 1/3 growth exponent for the power law describing the evolution of the correlation length as a function of annealing time has never been experimentally observed before in perpendicular oriented cylinders. In general, the kinetics of the grain coarsening rely on the motion of molecules in a complex phase separated structure causing a defect-structure/chain-mobility relationship.25 In this context, it is worth to note that almost all the experimental and theoretical results in the literature focus on the topological evolution of the nanodomains during the grain coarsening without considering the effective experimental conditions experienced by the RCP+BCP system.60 The RCP and BCP are considered as the only actors playing a role in the self-assembly process. Differently, this work demonstrates that an additional actor has to be taken into account because the chain mobility is strongly affected by the presence of residual solvent trapped in the polymeric films. Recently, a coarse-grained molecular dynamics technique allowed simulating the solvent vapor anneling in films of cylinder forming BCP. Even if the system (absence of RCP layer) and the process (SVA instead of RTA) are not perfectly equivalent the role of the solvent in term of quantity, selectivity, and solubility is addressed paving the way to a comprehensive comparison of the experimental data and the simulation results.61

19

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 34

From a technological point of view, the main achievement herein reported is the demonstration of the possibility to exploit the P(S-r-MMA) RCP not only as a neutralization layer to achieve the perpendicular orientation of the BCP nanodomains but, also, as a solvent reservoir to enhance the longrange lateral order. The grain coarsening of the hexagonal pattern was enhanced achieving a correlation length of ≈ 800 nm (≈ 27∙L0). The employed approach relies on the basic RCP+BCP system without the need to supplement solvent by means of a polymeric pad above the BCP film,38 or cross-linked layer underneath the BCP layer,39 or proximal injection of solvent,40 or by means of immersion-induced selfassembly.41 The process was performed thorough a single thermal treatment in a standard RTA set up without the implementation of complex apparatuses for the SVA treatment35 or the introduction of exotic heating platforms such as microwave36 or photo-thermal sources.37 It is worth noticing that when the employed process is spatially localized, the local annealing time is different from the total processing time.37 In this work, the high level of lateral order is achieved in few minutes. In particular, tANN corresponds to the total processing time that simultaneously and homogeneously acts on the whole substrate. Consequently, this approach could be easily scaled up to the standard 300 mm wafer currently used in nanolithographic production lines. CONCLUSION In conclusion, the internal reservoir of solvent in the RCP+BCP system can be tuned by properly adjusting the thickness of the grafted RCP brush layers. Increasing the initial solvent content in the polymeric film it is possible to sustain the grain coarsening process and achieve high values of correlation length through a high temperature RTA treatment. In particular, 2 and 18 nm thick grafted RCP brush layers were used to incorporate different initial amounts of solvent in the RCP+BCP system. The evolutions of the correlation lengths in the solvent rich and solvent poor RCP+BCP systems follow significantly different pathways. In the solvent-rich RCP+BCP system, the thick brush 20

ACS Paragon Plus Environment

Page 21 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

layer supplies a solvent reservoir that sustains the grain coarsening process up to 800 nm (≈ 27∙L0) following a power law with growth exponent ≈ 0.33. Conversely, the amount of solvent furnished by the thin brush layer is unable to sustain the grain coarsening and the values level off at about 200 nm (≈ 7∙L0). The proposed approach represents a simple and extremely efficient method to introduce an embedded reservoir of solvent in the polymeric film without increasing the complexity of the process. To fully exploit the potentialities of this approach the samples were thermally treated at high temperatures by RTA, that allows reaching the target temperature in few second, preventing significant solvent evaporation during the heating stage of the TA process. Thanks to the combination of all these elements, the synergic effect of embedded solvent and high temperature RTA represents a viable solution for the integration of BCPs in nanolithographic process. METHODS Random Copolymer Grafting. Oriented Si(100) substrates with ∼1.8 nm native SiO2 layer, were used as a support for the RCP grafting and the self-assembly of BCP. The oxidized substrates (about 1 cm2 surface) were cleaned with piranha solution (H2SO4/H2O2 with 3/1 vol. ratio at 80 °C for 40 min) to eliminate any residual organic material and to increase the surface concentration of hydroxyl groups. The samples were then rinsed in H2O, dried under N2 flow, cleaned with isopropanol in an ultrasonic bath, and dried under N2 flow. P(S-r-MMA) RCPs were prepared by ARGET-ATRP copolymerization. The thin RCP has a Mn = 1.7 kg∙mol-1 (PDI = 1.19, S fraction = 61.8%). The thick RCP has a Mn = 69 kg∙mol-1 (PDI = 1.19, S fraction = 61.0%). A solution with 18 mg of P(S-r-MMA) RCP in 2 ml of toluene was prepared in ultrasonic bath. The -OH terminations of the RCP were used to promote the grafting to the SiO2 substrate. The P(S-r-MMA) solution was spun for 30 s at 3000 rpm to obtain a ~ 30 nm thick layer. To induce the grafting of the RCP the samples were annealed in RTP apparatus for 60 s at 290 °C. The 21

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 34

ungrafted chains were removed with a 300 s sonication step in a toluene bath. The thickness of the resulting grafted layer was ≈ 2.2 nm and ≈ 18.6 nm, respectively, as detected by ellipsometry. Block Copolymer Self-Assembling. The asymmetric PS-b-PMMA BCP with S fraction of 0.71, Mn = 54 kg∙mol-1, and PDI = 1.07, was purchased from Polymer Source Inc. and used without further purification. A solution of PS-b-PMMA in toluene was prepared (18 mg in 2 ml) and spun for 30 s at 3000 rpm to obtain a ~ 30 nm thick layer on the flat surface. BCP ordering was performed by RTP treating the sample in N2 atmosphere at annealing temperatures between TANN = 160-240 °C. The annealing time was between tANN =1-900 s. The RCP grafting, the BCP coating and RTA treatment (BCP self-assembly) were performed without any delay between the different process steps. However, it is worth to note that at room temperature the amount of solvent is not affected by the awaiting time. Rapid Thermal Annealing Treatment. The RTP treatments were performed in a Jipelec, JetFirst Series system. The process consists of a three-step treatment (a heating ramp, a plateau, and a cooling ramp) in a N2 atmosphere. In all the thermal treatments, the heating ramp was set at 18 °C/s. Thickness Measurement. The thickness of the polymeric films was measured by means of an M-200U spectroscopic ellipsometer (J. A. Wollam Co. Inc.) using light radiation from a Xe lamp at a 70° incidence angle. Morphological Characterization.

22

ACS Paragon Plus Environment

Page 23 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

The morphology of the BCPs (in-plane order and orientation with respect to the substrate) was investigated by means of scanning electron microscopy (SEM, Zeiss Supra 40). In order to enhance the phase contrast in both SEM characterizations, a selective removal of the PMMA component was performed. The degradation of the PMMA and parallel cross-linking of the PS were achieved by exposure to UV radiation (5 mW/cm2, λ = 253.7 nm, 900 s). The PMMA material was subsequently removed through immersion in an acetic acid bath and subsequent rinsing in deionized H2O followed by processing in oxygen plasma for 30 s at 40 W. Correlation Length Calculation. The quantitative determination of the level of organization in cylindrical thin films was performed by measuring the orientational correlation length (ξ) by processing the several SEM images of the surface of each sample using a Matlab routine. The appropriate magnification was selected so that the SEM image size is at least 15 times larger than the correlation length and the image resolution was properly set in order to maintain a good nm/pixel ratio. To provide a statistical evaluation of the ordering in the block copolymer thin film, several SEM images in different areas of the sample were acquired and analyzed. The process flow was described in ref 50. After a first step of image binarization, the Matlab program localizes the centroids of every cylinder and performs a Delaunay triangulation to determine the coordinates of the vertex of the hexagons. Then the orientational order of the hexagons with respect to the horizontal axis and the associated autocorrelation function (ACF) are calculated. Finally, the ξ values were calculated by fitting the ACF with the following equation: ACF = exp (−r⃗/ξ), where r⃗ is the coordinate vector. Solvent Content Determination.

23

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 34

The residual toluene in the films was determined by Gas Chromatography-Mass Spectrometry (GCMS) after solvent extraction using dichloromethane. All the GC-MS analyses were performed using a Finnigan Trace GC Ultra coupled with a Trace DSQ Analyser. The GC separation was carried out using a Phenomenex DB5-5ms capillary column (30 m, 0.25 i.d., 0.25 thickness). The oven heating rate was 10 °C/min from 45 to 110 °C and 60 °C/min from 110 to 180 °C. The injector was set in split mode with a split ratio of 1:10 at the temperature of 250 °C and under a constant low (1.0 mL/min) of helium as the carrier gas. The transfer line temperature was set at 280 °C. The MS signal was acquired in EI+ mode with an ionization energy of 70.0 eV and the ion source temperature at 220 °C. The acquisition was performed both in full-scan mode, in the 20–450 m/z range, and in Single Ion Monitoring (SIM) mode (92 m/z). The SIM signal was used in the quantification process by integration of the chromatographic peak, identified by comparison with the retention time and mass spectrum of a standard toluene solution. The quantification was carried out both by performing a toluene calibration curve in the suitable concentration range and by the response factor method using anisole as the Internal Standard (IS). The IS was added to all the samples at the concentration of 0.2 mg/L and was monitored in SIM acquisition at 108 m/z.

Corresponding Authors E-mail: * [email protected], * [email protected] Author Contributions The manuscript was written through contributions of all authors. All authors have given approval to the final version of the manuscript. Notes 24

ACS Paragon Plus Environment

Page 25 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Patent protection related to this work is pending. ACKNOWLEDGMENT This research has been financially supported Project 14IND01 “3DMetChemIT”. This project has received funding from the EMPIR programme co-financed by the EMPIR Participating States and from the European Union’s Horizon 2020 research and innovation programme. Flavio Giovanni Volpe (IMM-CNR) is acknowledged for the data analysis. Federico Ferrarese Lupi (IMM-CNR) is acknowledged for fruitful discussions.

REFERENCES

(1)

Bates, F. S. Polymer-Polymer Phase Behavior. Science 1991, 251, 898–905.

(2)

Park, C.; Yoon, J.; Thomas, E. L. Enabling Nanotechnology with Self Assembled Block Copolymer Patterns. Polymer 2003, 44, 6725–6760.

(3)

Segalman, R. A. Patterning with Block Copolymer Thin Films. Materials Science and Engineering: R: Reports 2005, 48, 191–226.

(4)

Hamley, I. W. Ordering in Thin Films of Block Copolymers: Fundamentals to Potential Applications. Progress in Polymer Science 2009, 34, 1161–1210.

(5)

Kim, H.-C.; Park, S.-M.; Hinsberg, W. D. Block Copolymer Based Nanostructures: Materials, Processes, and Applications to Electronics. Chemical reviews 2010, 110, 146–177.

25

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(6)

Page 26 of 34

Jackson, E. A.; Hillmyer, M. A. Nanoporous Membranes Derived from Block Copolymers : From Drug Delivery to Water Filtration. ACS Nano 2010, 4, 3548–3553.

(7)

Dorin, R. M.; Sai, H.; Wiesner, U. Hierarchically Porous Materials from Block Copolymers. Chemistry of Materials 2013, 26, 339–347.

(8)

Cheng, J. Y.; Mayes, A. M.; Ross, C. A. Nanostructure Engineering by Templated Self-assembly of Block Copolymers. Nature materials 2004, 3, 823–828.

(9)

Tang, C.; Lennon, E. M.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Evolution of Block Copolymer Square Arrays. Science 2008, 322, 429–432.

(10) Tang, J.; Wang, H.-T.; Lee, D. H.; Fardy, M.; Huo, Z.; Russell, T. P.; Yang, P. Holey Silicon as an Efficient Thermoelectric Material. Nano letters 2010, 10, 4279–4283.

(11) Rahman, A.; Ashraf, A.; Xin, H.; Tong, X.; Sutter, P.; Eisaman, M. D.; Black, C. T. Sub-50-nm Self-assembled Nanotextures for Enhanced Broadband Antireflection in Silicon Solar Cells. Nature communications 2015, 6, 5963.

(12) Ryu, D. Y.; Wang, J.; Lavery, K. A.; Drockenmuller, E.; Satija, S. K.; Hawker, C. J.; Russell, T. P. Surface Modification with Cross-Linked Random Copolymers : Minimum Effective Thickness. Macromolecules 2007, 40, 4296–4300.

(13) Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker C. Controlling Polymer-Surface Interactions with Random Copolymer Brushes. Science 1997, 275, 1458–1460.

26

ACS Paragon Plus Environment

Page 27 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(14) Han, E.; Stuen, K. O.; La, Y.; Nealey, P. F.; Gopalan, P. Effect of Composition of SubstrateModifying Random Copolymers on the Orientation of Symmetric and Asymmetric Diblock Copolymer Domains. Macromolecules 2008, 41, 9090–9097.

(15) Cui, G.; Fujikawa, M.; Nagano, S.; Sano, M.; Takase, H.; Miyazaki, T.; Sakurai, S.; Yamamoto, K. Perpendicular Oriented Cylinders via Directional Coalescence of Spheres Embedded in Block Copolymer Films Induced by Solvent Annealing. Polymer 2014, 55, 1601–1608.

(16) Ham, S.; Shin, C.; Kim, E.; Ryu, D. Y.; Jeong, U.; Russell, T. P.; Hawker, C. J. Microdomain Orientation of PS- b -PMMA by Controlled Interfacial Interactions. Macromolecules 2008, 41, 6431–6437.

(17) Ryu, D. Y.; Ham, S.; Kim, E.; Jeong, U.; Hawker, C. J.; Russell, T. P. Cylindrical Microdomain Orientation of PS- b -PMMA on the Balanced Interfacial Interactions: Composition Effect of Block Copolymers. Macromolecules 2009, 42, 4902–4906.

(18) Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M.; Ferrarese Lupi, F.; Giammaria, T. J.; Seguini, G.; Perego, M. Ultrathin Random Copolymer-grafted Layers for Block Copolymer Selfassembly. ACS applied materials & interfaces 2015, 7, 10944–10951.

(19) Black, C. T. Polymer Self-Assembly as a Novel Extension to Optical Lithography. ACS Nano 2007, 1, 147–150.

(20) Bates, C. M.; Maher, M. J.; Janes, D. W.; Ellison, C. J.; Willson, C. G. Block Copolymer Lithography. Macromolecules 2014, 47, 2–12.

27

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 28 of 34

(21) Herr, D. J. C. Directed Block Copolymer Self-assembly for Nanoelectronics Fabrication. Journal of Materials Research 2011, 26, 122–139.

(22) Tsai, H.; Pitera, J. W.; Miyazoe, H.; Bangsaruntip, S.; Engelmann, S. U.; Liu, C.; Cheng, J. Y.; Bucchignano, J. J.; Klaus, D. P.; Joseph, E. A.; et al. Two-Dimensional Pattern Formation Using Graphoepitaxy of PS - b - PMMA Block Copolymers for Advanced FinFET Device and Circuit Fabrication. ACS Nano 2014, 5, 5227-5232.

(23) Chevalier, X.; Nicolet, C.; Tiron, R.; Gharbi, A.; Chamiot-Maitral, G.; Jullian, K.; PimentaBarros, P.; Argoud, M.; Peyre, J.-L.; Van Spaandonk, R.; et al. Self-assembly of Highresolutions PS-b-PMMA Block-copolymers: Processes Capabilities and Integration on 300mm Track. Proc. of SPIE 2014, 9049, 90490Y.

(24) Vega, D. A.; Harrison, C. K.; Angelescu, D. E.; Trawick, M. L.; Huse, D. A.; Chaikin, P. M.; Register, R. A. Ordering Mechanisms in Two-dimensional Sphere-forming Block Copolymers. Physical Review E 2005, 71, 061803.

(25) Li, W.; Müller, M. Defects in the Self-Assembly of Block Copolymers and Their Relevance for Directed Self-Assembly. Annual review of chemical and biomolecular engineering 2015, 6, 187–216.

(26) Sinturel, C.; Vayer, M.; Morris, M.; Hillmyer, M. A. Solvent Vapor Annealing of Block Polymer Thin Films. Macromolecules 2013, 46, 5399–5415.

(27) Campbell, I. P.; He, C.; Stoykovich, M. P. Topologically Distinct Lamellar Block Copolymer Morphologies Formed by Solvent and Thermal Annealing. ACS Macro Letters 2013, 2, 918– 923. 28

ACS Paragon Plus Environment

Page 29 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(28) Gu, X.; Gunkel, I.; Hexemer, A.; Gu, W.; Russell, T. P. An in Situ Grazing Incidence X-ray Scattering Study of Block Copolymer Thin Films During Solvent Vapor Annealing. Advanced Materials 2014, 26, 273–281.

(29) Liedel, C.; Pester, C. W.; Ruppel, M.; Lewin, C.; Pavan, M. J.; Urban, V. S.; Shenhar, R.; Bosecke, P.; Boker, A. Block Copolymer Nanocomposites in Electric Fields: Kinetics of Alignment. ACS Macro Letters 2013, 2, 53–58.

(30) Qiang, Z.; Zhang, Y.; Groff, J. A.; Cavicchi, K. A.; Vogt, B. D. A Generalized Method for Alignment of Block Copolymer Films: Solvent Vapor Annealing with Soft Shear. Soft Matter 2014, 10, 6068-6076.

(31) Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Epitaxial Self-assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates. Nature 2003, 424, 411–414.

(32) Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Square-Inch Arrays from Block Copolymers with Lateral Order. Science 2009, 323, 1030–1033.

(33) Yager, K. G.; Fredin, N. J.; Zhang, X.; Berry, B. C.; Karim, A.; Jones, R. L. Evolution of Blockcopolymer Order through a Moving Thermal Zone. Soft Matter 2010, 6, 92–99.

(34) Albert, J. N. L.; Bogart, T. D.; Lewis, R. L.; Beers, K. L.; Fasolka, M. J.; Hutchison, J. B.; Vogt, B. D.; Epps, T. H. Gradient Solvent Vapor Annealing of Block Copolymer Thin Films. Nano letters 2011, 11, 1351–1357.

29

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 30 of 34

(35) Choi, E.; Park, S.; Ahn, H.; Lee, M.; Bang, J.; Lee, B.; Ryu, D. Y. Substrate-Independent Lamellar Orientation in High-Molecular-Weight Polystyrene-b-poly (methyl Methacrylate) Films: Neutral Solvent Vapor and Thermal Annealing. Macromolecules 2014, 47, 3969–3977.

(36) Jin, C.; Murphy, J. N.; Harris, K. D.; Buriak, J. M. Deconvoluting the Mechanism of Microwave Annealing of Block Copolymer Thin Films. ACS Nano 2014, 8, 3979–3991.

(37) Majewski, P. W.; Yager, K. G. Millisecond Ordering of Block Copolymer Films via Photothermal Gradients. ACS Nano 2015¸ 9¸ 3896-3906.

(38) Yoon, E.; Kim, E.; Kim, D.; Son, J. G. Top-Coat Dewetting for the Highly Ordered Lateral Alignment of Block Copolymer Microdomains in Thin Films. Advanced Functional Materials 2015, 25, 913–919.

(39) Stenbock-Fermor, A.; Knoll, A. W.; Boker, A.; Tsarkova, L. Enhancing Ordering Dynamics in Solvent-Annealed Block Copolymer Films by Lithographic Hard Mask Supports. Macromolecules 2014, 47, 3059–3067.

(40) Jeong, J. W.; Hur, Y. H.; Kim, H.; Kim, J. M.; Park, W. I.; Kim, M. J.; Kim, B. J.; Jung, Y. S. Proximity Injection of Plasticizing Molecules to Self-Assembling Polymers for Large-Area , Ultrafast Nanopatterning in the Sub-10-nm Regime. ACS Nano 2013, 8, 6747–6757.

(41) Park, W. I.; Kim, J. M.; Jeong, J. W.; Jung, Y. S. Deep-Nanoscale Pattern Engineering by Immersion-Induced Self-Assembly. ACS Nano 2014, 8, 10009–10018.

30

ACS Paragon Plus Environment

Page 31 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(42) Ceresoli, M.; Volpe, F. G.; Seguini, G.; Antonioli, D.; Gianotti, V.; Sparnacci, K.; Laus, M.; Perego, M. Scaling of Correlation Length in Lamellae Forming PS-b-PMMA Thin Films Upon High Temperature Rapid Thermal Treatments. J. Mater. Chem. C 2015, 3, 8618–8624.

(43) Ferrarese Lupi, F.; Giammaria, T. J.; Seguini, G.; Ceresoli, M.; Perego, M.; Antonioli, D.; Gianotti, V.; Sparnacci, K.; Laus, M. Flash Grafting of Functional Random Copolymers for Surface Neutralization. Journal of Materials Chemistry C 2014, 2, 4909-4917.

(44) Ferrarese Lupi, F.; Giammaria, T. J.; Ceresoli, M.; Seguini, G.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M.; Perego, M. Rapid Thermal Processing of Self-assembling Block Copolymer Thin Films. Nanotechnology 2013, 24, 315601.

(45) Ferrarese Lupi, F.; Giammaria, T. J.; Seguini, G.; Vita, F.; Francescangeli, O.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M.; Perego, M. Fine Tuning of Lithographic Masks through Thin Films of PS-b-PMMA with Different Molar Mass by Rapid Thermal Processing. ACS applied materials & interfaces 2014, 6, 7180–7188.

(46) Ferrarese Lupi, F.; Aprile, G.; Giammaria, T. J.; Seguini, G.; Zuccheri, G.; De Leo, N.; Boarino, L.; Laus, M.; Perego, M. Thickness and Microdomain Orientation of Asymmetric PS-b-PMMA Block Copolymer Films Inside Periodic Gratings. ACS applied materials & interfaces 2015, 7, 23615–23622.

(47) Seguini, G.; Giammaria, T. J.; Ferrarese Lupi, F.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Vita, F.; Placentino, I. F.; Hilhorst, J.; Ferrero, C.; et al. Thermally Induced Self-assembly of Cylindrical Nanodomains in Low Molecular Weight PS-b-PMMA Thin Films. Nanotechnology 2014, 25, 045301. 31

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 32 of 34

(48) Segalman, R. A.; Hexemer, A.; Kramer, E. J. Edge Effects on the Order and Freezing of a 2D Array of Block Copolymer Spheres. Physical Review Letters 2003, 91, 196101.

(49) Angelescu, D. E.; Harrison, C. K.; Trawick, M. L.; Register, R. A.; Chaikin, P. M. TwoDimensional Melting Transition Observed in a Block Copolymer. Physical Review Letters 2005, 95, 025702.

(50) Harrison, C.; Angelescu, D. E.; Trawick, M.; Cheng, Z.; Huse, D. a; Chaikin, P. M.; Vega, D. a; Sebastian, J. M.; Register, R. a; Adamson, D. H. Pattern Coarsening in a 2D Hexagonal System. Europhysics Letters 2004, 67, 800–806.

(51) Boyer, D.; Viñals, J. Domain Coarsening of Stripe Patterns Close to Onset. Physical Review E 2001, 64, 050101.

(52) Kamaga, C.; Ibrahim, F.; Dennin, M. Dislocation Dynamics in an Anisotropic Stripe Pattern. Physical Review E 2004, 69, 066213.

(53) Perego, M.; Ferrarese Lupi, F.; Ceresoli, M.; Giammaria, T. J.; Seguini, G.; Enrico, E.; Boarino, L.; Antonioli, D.; Gianotti, V.; Sparnacci, K.; et al. Ordering Dynamics in Symmetric PS-bPMMA Diblock Copolymer Thin Films During Rapid Thermal Processing. Journal of Materials Chemistry C 2014, 2, 6655-6664.

(54) Harrison, C.; Adamson, D. H.; Cheng, Z.; Sebastian, J. M.; Sethuraman, S.; Huse, D. A.; Register, R. A.; Chaikin, P. M. Mechanisms of Ordering in Striped Patterns. Science 2000, 290, 1558–1560.

32

ACS Paragon Plus Environment

Page 33 of 34

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(55) Ruiz, R.; Sandstrom, R. L.; Black, C. T. Induced Orientational Order in Symmetric Diblock Copolymer Thin Films. Advanced Materials 2007, 19, 587–591.

(56) Black, C. T.; Guarini, K. W. Structural Evolution of Cylindrical-phase Diblock Copolymer Thin Films. Journal of Polymer Science Part A: Polymer Chemistry 2004, 42, 1970–1975.

(57) Ji, S.; Liu, C.; Liao, W.; Fenske, A. L.; Craig, G. S. W.; Nealey, P. F. Domain Orientation and Grain Coarsening in Cylinder-forming Poly(styrene-b-methyl Methacrylate) Films. Macromolecules 2011, 44, 4291–4300.

(58) Li, W.; Qiu, F.; Yang, Y.; Shi, A.-C. Ordering Dynamics of Directed Self-Assembly of Block Copolymers in Periodic Two-Dimensional Fields. Macromolecules 2010, 43, 1644–1650.

(59) Glasner, K. Hexagonal Phase Ordering in Strongly Segregated Copolymer Films. Physical Review E 2015, 92, 042602.

(60) Hur, S.-M.; Thapar, V.; Ramírez-Hernández, A.; Khaira, G.; Segal-Peretz, T.; RinconDelgadillo, P. A.; Li, W.; Müller, M.; Nealey, P. F.; de Pablo, J. J. Molecular Pathways for Defect Annihilation in Directed Self-assembly. Proceedings of the National Academy of Sciences of the United States of America 2015, 112, 14144-14149.

(61) Berezkin, A. V.; Papadakis, C. M.; Potemkin, I. I. Vertical Domain Orientation in CylinderForming Diblock Copolymer Films upon Solvent Vapor Annealing. Macromolecules 2016, 49, 415–424.

33

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

GRAPHICAL ABSTRACT

34

ACS Paragon Plus Environment

Page 34 of 34