Fabrication of Ge:Ga hyper-doped materials and devices using CMOS

Oct 9, 2018 - We report a versatile chemical vapor deposition (CVD) method to dope Ge films with Ga atoms in situ over a wide concentration range ...
0 downloads 0 Views 977KB Size
Subscriber access provided by University of Sunderland

Functional Inorganic Materials and Devices

Fabrication of Ge:Ga hyper-doped materials and devices using CMOS compatible Ga and Ge hydride chemistries Chi Xu, Patrick Wallace, Dhruve A Ringwala, José Menéndez, and John Kouvetakis ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.8b10046 • Publication Date (Web): 09 Oct 2018 Downloaded from http://pubs.acs.org on October 12, 2018

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Fabrication of Ge:Ga hyper-doped materials and devices using CMOS compatible Ga and Ge hydride chemistries Chi Xu1,*, Patrick M. Wallace2, Dhruve A. Ringwala2, José Menéndez1, and John Kouvetakis2 1, Department of Physics, Arizona State University, Tempe AZ 85287, USA 2, School of Molecular Sciences, Arizona State University, Tempe, AZ 85287, USA E-mail: [email protected] Abstract We report a versatile chemical vapor deposition (CVD) method to dope Ge films with Ga atoms in situ over a wide concentration range spanning from 3×1018 cm-3 to 2.7×1020 cm3 . The method introduces a stable and volatile Ga hydride [D2GaN(CH3)2]2 that reacts readily with Ge4H10 to deliver Ga dopants controllably and systematically at complementary metal-oxide-semiconductor (CMOS) compatible ultra-low temperatures of ~360°C. Thick and monocrystalline layers (1.3 µm) are produced on Si substrates at growth rates approaching 50 nm/min. The doped crystals are fully epitaxial and devoid of misfit defects and Ga precipitates as evidenced by Rutherford backscattering spectrometry (RBS), X-ray diffraction (XRD) and cross-sectional transmission electron microscopy (XTEM). The Ga contents measured by secondary ion mass spectrometry (SIMS) and the active carrier concentrations determined by spectroscopic ellipsometry (as well as Hall Effect measurements in several cases) are in close agreement, indicating near full activation. Photoluminescence spectra show a strong emission peak at 0.79 eV corresponding to the direct gap E0 transition, evidence for the indirect transition, and additional structures characteristic of p-type Ge. Electroluminescence and I-V curves measured from p(Ga)-i-n photodiodes are found to be on a par with those from boron-based reference devices. These results are promising and demonstrate that a single-source CVD approach allows independent control of Ga doping level and junction depth, producing flat dopant profiles, high activation ratios, uniform distributions and sharp interfaces. This method potentially represents a viable alternative to state-of-the-art boron-based p-type doping and activation of Ge-like materials. Key Words: Germanium, Ga doping, tetragermane, dimethylamine-gallane, hyperdoped Ge, CMOS compatible, direct gap Ge Introduction Doping is an essential requirement for semiconductor device fabrication. In addition to traditional bulk doping1, numerous doping methods have been developed over the past decades, including implantation followed by annealing2,3, delta-doping4, and in situ doping during epitaxial growth.5 Bulk doping methods can only be applied during crystal growth and are of limited value for applications in thin-film technologies. Implantation methods usually require the deposition of a SiO2 capping layer, as well as high temperature treatments to activate the dopants and recrystallize the lattice. These may not

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

be compatible with low temperature complementary metal-oxide-semiconductor (CMOS) processing, and they make it very difficult to control doping level and junction depth independently. In situ doping during film growth is a simple alternative that allows for high dopant levels with activation ratios (defined as the ratio of the carrier density over the chemical concentration of dopant atoms) approaching unity. Furthermore, by eliminating the need for recrystallization post-growth annealings, this approach makes it possible to achieve box-like dopant profiles. In situ doping can be accomplished via chemical vapor deposition (CVD) or molecular beam epitaxy (MBE). CVD is generally considered to be the more practical approach. CVD n-type doping of Ge is typically conducted using commercial PH36 and AsH37 sources. These typically require high processing temperatures to reach optimal incorporation and full activation. Recent efforts have focused on developing ultra-low temperature (200-350 °C) methods that are more compatible with CMOS processing. These new approaches involve custom hydride precursors, including the P(GeH3)38, As(GeH3)39 and SbD310 families of compounds. The results from these endeavors include record-high dopant concentrations, ultra-low resistivities, uniform dopant profiles, sharp interfaces, and near-perfect activation ratios, enabling the fabrication of working devices. For p-type doping of Ge, boron (B) is the most common source. It is traditionally incorporated by direct implantation from solid B sources. Activation methods include laser annealing or rapid thermal annealing2,3. Regardless of the method, the activation ratio has never exceeded 20% at high dopant concentrations beyond 1×1020 cm-3. Preamorphization-implantation (PAI) is an alternative strategy to increase the dopant activation of B in Ge. In this case a dose of Ge atoms is first implanted to amorphize the lattice followed by B implantation11,12. Although this has been proven to work better, it introduces an additional layer of complexity into the doping process. For in situ B doping, B2H6 has long been the only gaseous source suitable for CVD. This is in sharp contrast with n-type doping, for which several CVD alternatives exist, as discussed above. Bogumilowitcz et al.5 reviewed previous work on Ge-doping and reported the fabrication of Ge:B materials via reactions of GeH4 and B2H6 at 400°C, obtaining carrier concentrations up to 1×1020 cm-3 with a substitutional B concentration of 2.2×1020 cm-3. D'Costa et. al. reported studies of p-type films grown by CVD of Ge2H6 and B2H6. They obtained hole concentrations as high as 6.5×1019 cm-3 with a resistivity of 7×10-4 Ω·cm,13 while Fang et. al. extended the approach to SiGeSn alloys achieving hole concentrations of 2.1×1020 cm-3 with a resistivity of 3.8×10-4 Ω·cm.14. On the other hand, MBE in situ doping using B is not as common. Radamson et al.15 reported B-doping of Ge at concentrations as high as 8×1020 cm-3 with a resistivity as low as 3×10-4 Ω·cm. However, B-precipitation along (001) and (113) planes began to appear at p = 1.8×1020 cm-3 and became more severe at higher doping levels, hindering possible applications in practical devices. Gallium is a desirable alternative to B for doping Ge because it has much higher equilibrium solubility (8×1019 cm-3 at 20°C, and 3×1020 cm-3 at 350°C, as compared to the negligible solubility of B in Ge at all temperatures)16,17, offering the possibility of

ACS Paragon Plus Environment

Page 2 of 18

Page 3 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

achieving ultrahigh carrier concentrations in group-IV devices. This would be particularly useful for the fabrication of p-type Ge MOSFETs, in which activated p-type carrier concentrations must be above 1×1020 atoms/cm3 in order to reduce the parasitic resistance in the source and drain (S/D) regions. Furthermore, compared to the small but observable diffusion observed for implanted B in Ge2,3, implanted Ga in Ge shows no discernible diffusion after annealing up to 700°C.18 In addition to the above benefits, prior studies have also reported the observation of superconductivity in highly doped Ge:Ga materials, but a Ga concentration up to 1.4×1021 cm-3 or 3% Ga is required to achieve this behavior.19 These represents the highest value reported to date, consistent with the enhanced solubility of Ga compared with B in a Ge host. However, we note that one issue with Ga implantation is a sizable segregation of Ga atoms near the surface, as evidence by Rutherford backscattering spectrometry (RBS). This makes such material questionable for electronic applications. In situ Ga-doing of Ge using MBE has received limited attention compared to in situ ntype doping of Ge, which has been extensively applied utilizing solid sources such as GaAs20 and Sb21. Shimura et. al. reported MBE Ga doping of both Ge and GeSn. In the case of GeSn, acceptor levels of 1×1020 cm-3 were achieved in a 7.1% Sn sample.22 However, this sample had inferior crystallinity, as evidenced by transmission electron microscopy (TEM). Similar Sn content samples (7.8% Sn) with better crystallinity could only be doped up to p = 5.5×1019 cm-3. In the case of pure Ge, a much lower carrier concentration p < 1×1019 cm-3 was obtained with an activation ratio of 18%, clearly insufficient for Ge p-MOSFET applications. Wang et. al. 23 reported in situ Ga doping of Ge1-xSnx using MBE, and claimed active doping up to 3.2×1020 cm-3. However, secondary ion mass spectrometry (SIMS) elemental profiles showed that the Ga levels increase towards the surface due to segregation. This problem becomes more pronounced at higher dopant levels, resulting in rough surfaces and reduced crystallinity as evidenced by X-ray diffraction (XRD). More recently, Wu et al. demonstrated Ga-doped MBEgrown Ge0.95Sn0.05 alloys for which the contact resistivity was found to be as low as 1.4×10-9 Ω·cm.24 However, Ga surface segregation was also found in this study. Given the notorious drawbacks of the MBE route to Ga doping, particularly when it comes to pure Ge, CVD in situ doping appears as an obvious alternative. Unfortunately, until now there were limited options when it came to CVD precursors for in situ Ga doping. The classic gallium hydride Ga2H6 —analogous to B2H6—is unstable above 75°C, which precludes its practical use. The ubiquitous metalorganic derivative Ga(CH3)3, typically used in vapor phase epitaxy of III-V semiconductors25, has been applied as a possible dopant in combination with iso-butyl germane as the germanium source.7 The optimum growth temperature was found to be 670°C, but even at these very high temperatures the highest dopant concentrations achieved—while maintaining reasonable structural quality—did not exceed 1×1019 Ga atoms/cm3. Furthermore, carbon contamination is a potential issue due to the presence of multiple methyl groups. In this paper we introduce an alternative CVD method to dope Ge films with Ga atoms in situ. The approach is based on a stable and volatile Ga hydride, [D2GaN(CH3)2]2, which reacts readily with Ge4H10 to deliver Ga dopants controllably and systematically at CMOS-compatible ultra-low temperatures of ~360°C. The CVD Ga-doping method has

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 18

several key advantages over the state of the art. First, it can dope Ge over a broad concentration range with nearly full activation ratios, yielding carrier densities between 3×1018 cm-3 and ~2.6×1020 cm-3. Second, the need for further annealing or activation steps is eliminated, simplifying the process and making it potentially deployable beyond the laboratory scale. Finally, the in situ nature of the method allows for independent control of doping level and junction depth, while delivering flat dopant profiles and sharply defined interfaces between intrinsic and doped layers. Experimental Synthetic strategy: [D2GaN(CH3)2]2 (dimethylamine-gallane, or DMA-Ga) is a relatively stable and reasonably volatile Ga hydride. The compound is a colorless liquid with a vapor pressure of ~ 1 Torr at room temperature, which makes it suitable for CVD experiments. [D2GaN(CH3)2]2was recently utilized to fabricate a novel class of hybrid semiconductor compounds with compositions GaPSi326, GaAsGe3 and Ga1-xPxGe327 by reactions of the compound with P(SiH3)3 and As(GeH3)3 between 370 °C and 500°C. The [D2GaN(CH3)2]2 gas source delivers pure Ga atoms at low temperatures by eliminating robust D2 and DN(CH3)2 byproducts as described by the reaction [D2GaN(CH3)2]2 → 2Ga + D2 + 2DN(CH3)2.

(1)

The DN(CH3)2 species is pumped away and does not participate in the growth process, leaving behind pure and crystalline epitaxial films devoid of C and N impurities. Calculations of the above decomposition reaction show that the change in Gibbs free energy (ΔG) is negative for temperature T >200°C and pressure at 10-5 Torr, indicating that the process is thermodynamically favorable under our growth conditions. A detailed discussion of the [D2GaN(CH3)2]2 dissociation mechanism on the basis of reaction (1) could be found in Ref. 26. The successful application of this compound to the growth of Ga-containing III-V-IV alloys motivated the current study to dope elemental Ge with Ga acceptors. Film growth: Two types of reactors were used to grow the Ga-doped Ge films for this study: a custom-built low pressure CVD reactor capable of accommodating 1 cm×1.5 cm substrate segments, and a gas source molecular epitaxy chamber (GSME) with full size 4inch wafer capabilities. Both instruments operate at ultra-low pressures of 10-4 -10-5 Torr and temperatures below 400°C. The first reactor was initially employed to establish deposition conditions for optimal incorporation of the Ga dopants. The system provided a convenient way to demonstrate feasibility of the approach by growing multiple samples at high throughput rates using small amounts of specialty chemicals. The GSME reactor allowed transitioning the technology to large-area Si platforms for preparation of samples that were subsequently used to produce devices. In both cases, Ge or Ge0.95Si0.05 buffer layers were used as template for deposition. These were freshly grown on Si following recipes described in Ref. 28 and Ref. 29. The Ge0.95Si0.05 buffers were employed to allow for the separation of the XRD peaks of the Ge:Ga epilayer from those of the buffer layer, thereby facilitating the unambiguous determination of the lattice constants as a function of Ga content. It is worth mentioning that the initial proof-of-concept depositions using

ACS Paragon Plus Environment

Page 5 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

the low-pressure CVD reactor were performed by growing the Ge buffer layers first in situ on the bare Si surface. The Ge source Ge4H10 was synthesized by pyrolysis of commercial Ge2H6 and purified as described in Ref. 30. In a typical experiment an appropriate flux of [D2GaN(CH3)2]2 and Ge4H10 was injected directly into the chamber and was adjusted to give a deposition pressure of 1×10-5 Torr using a high precision needle valve. The molecular flow was directed towards the growth surface using a nozzle terminating one inch away from the substrate. The latter was heated to 380°C by passing current through the wafer. Under these conditions layers with thickness approaching 1.3 m were produced at an average growth rate of 25-50 nm/min. The growth on 4” Si substrates was conducted using similar parameters of 1×10-4 Torr and 370°C. In this case a gaseous mixture of [D2GaN(CH3)2]2 and Ge4H10 in H2 diluent was used to ensure a homogeneous doping profile over the entire wafer. The growth rate using this approach was 15-20 nm/min (slightly lower than above), yielding layers with final thicknesses ranging from 200 to 300 nm. The resultant samples produced from either reactor exhibited smooth and mirror-like appearance devoid of any haziness or other visible surface features, indicating a flat topology in all cases. sample

Ge:Ga 1 GSME Ge:Ga 2 CVD Ge:Ga 3 GSME Ge:Ga 4 CVD Ge:Ga 5 CVD Ge:Ga 6 CVD Ge:Ga 7 CVD Ge:Ga 8 CVD

SIMS Ga concentration (×1019 cm-3) 0.345 1.74 3.29 2.18 12.5 10.3 25.2 N/A

IRSE carrier concentration (×1019 cm-3) 0.360 2.32 2.98 2.99 8.55 9.91 16.8 26.7

IRSE resistivity (10-4 Ω·cm) 100 11.5 18 16 8.5 7.4 4.5 4.0

Table 1: Atomic concentrations, carrier concentrations and resistivities for representative samples doped with Ga in the range of 3.5×1018 and 2.67×1020 cm-3. The absolute SIMS concentrations are very close to the ellipsometry counterparts, indicating a high degree of carrier activation over a broad range.

Results and Discussion Doping and activation characterizations: The concentration of Ga atoms incorporated in the films were obtained by SIMS, and the corresponding hole concentrations were determined by Infrared Spectroscopic Ellipsometry (IRSE). The combination of IRSE and SIMS data made it possible to determine the dopant activation ratios in the samples, as described below. Hall Effect measurements were conducted on selected samples to confirm the carrier concentrations determined from IRSE. We used an Ecopia 3000 Hall system with a calibrated magnetic field of 0.584 T. In–Sn contacts were made to the samples for these measurements, and also used to determine the resistivity electrically. Details on these electrical measurements can be found in Ref. 10.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Ga contents from SIMS were quantified using a bulk Ge standard implanted with 5×1019 atoms/cm3 of 69Ga. They appear in the second column of Table 1 for representative samples grown by GSME and CVD. Figure 1 shows a typical SIMS plot for a Ga-doped Ge sample grown on a Ge0.95Si0.05 buffer, revealing flat elemental profiles across the film that indicate a homogeneous distribution of the dopant atoms. Calibrated Ga concentrations across the layer can be read directly from the right side axis. A 2-order-of-magnitude decrease of the Ga concentration at the interface with the buffer layer (depth around 360 nm in Figure 1) is apparent over a 30 nm interval, indicating that no diffusion has occurred into the buffer or accumulation onto the surface during the growth procedure. A small Ga-concentration dip is also seen near the interface. A similar observation was made based on by Figure 1: SIMS profile for a Ga doped Ge sample SIMS data from MBE in situ grown on top of a Ge0.95Si0.05 buffered Si. The Ga 20 -3 doped Ge:Ga systems22,24. The atomic concentration is NGa =1.03×10 cm . origin of the dip was attributed to an imbalance between segregation and incorporation of the Ga dopants that occurs at the onset of the deposition process.24 The IRSE measurements were conducted at room temperature on a JA Woollam instrument. Typical scans were taken at an incident angle of 70° and within a photon energy range of 0.03-0.8 eV with step size of 0.001 eV. The raw data were fitted using a multi-layer model which consisted of the Si substrate, the Ge buffer layer, a parameterized p-type Ge layer, a thin GeO2 layer and a surface roughness layer. This approach was applied to both sample types grown on Ge0.95Si0.05 and Ge buffers and no significant difference was found between them, indicating that the buffer layer does not influence the behavior in any significant manner. The thicknesses of each layer were fitted first, followed by adjustment of the parameters in the p-type Ge layer. We generally adopt the fitting method introduced in ref. 13, in which a Drude Figure 2: Real and imaginary dielectric functions term (see Supporting Information) was ε1 and ε2 for a Ga doped Ge sample with a carrier employed to represent the free carrier concentration of 9.91×1019 cm-3. response at the long wavelength limit,

ACS Paragon Plus Environment

Page 6 of 18

Page 7 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

and 2 or 3 Gaussian oscillators were used to fit the optical transitions between the split-off (so), light-hole (lh), and heavyhole (hh) bands. Figure 2 shows plots of the real and imaginary parts of the dielectric function for a heavily doped sample. The Drude response can be clearly seen below 0.1 eV, whereas the shoulder around 0.2 eV corresponds to transitions between the valence bands. The theoretical fits yield the Figure 3: Ga dopant activation ratios in Ge as a function material's static resistivity and of dopant concentration. Results obtained from this study average relaxation time. The are shown by red dots. Analogous results from literature resistivity values are presented in accounts are presented by the various colored symbols and the fourth column of table 1 for a are also summarized in the inset. The “II” sign indicates ion implantation while ELA and RTA denote excimer series of representative samples laser annealing and rapid thermal annealing, respectively. along with the active carrier The data demonstrates that the [D2GaN(CH3)2]2 approach concentrations in the third leads to a significant improvement in activation ratios for column. The latter span a wide p-type doping of Ge. range of values from 1018 to 1020 /cm3, illustrating the effectiveness of the doping approach in tuning the doping levels over a wide window as required for device flexibility. We note that the determination of active concentrations from IRSE requires the additional knowledge of the carrier effective mass m*. In previous work on B-doped Ge, D'Costa and coworkers used an effective mass m* = 0.28m0, where m0 is the free-electron mass.13 However, we expect the effective mass to depend on the doping level due to the strong non-parabolicity of the hole bands, and this is confirmed by calculations sketched in the Supporting Information. At low doping levels the calculated effective mass is in excellent agreement with the value proposed by D'Costa.13 But as the hole concentration increases beyond p ~ 2×1019 cm-3, the non-parabolicity effects cannot be neglected. The carrier concentrations that are quoted in Table 1 use the calculated doping-dependent effective mass shown in Figure S1 of the supporting information, which for each sample is obtained self-consistently in an iterative fit. The active carrier concentrations from ellipsometry and the atomic Ga concentrations from SIMS were used to obtain activation ratios values. These are plotted in Figure 3 (red dots) and compared with trends from prior studies of p-type doping of Ge in the literature. The methods used in these studies are summarized in the legend. It is apparent from Figure 3 that within experimental error near full activation has been achieved in our study for Ga concentrations up to about 1020 atoms/cm3, a dramatic improvement over all other p-type doping protocols. For samples with the highest dopant concentrations the activation drops down to ~ 70%. We emphasize that the near-unity activation ratio over a broad doping range is likely a result of the low temperature (360-400°C) conditions

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 18

employed in our experiments and afforded by the high reactivity of the Ga hydride source. The facile dissociation of the latter likely facilitates substitutional incorporation and full activation without the need for further thermal treatments and activation steps. By contrast, previously reported activation ratios for B and Ga doping by other methods mostly lie below 40%, with the exception of Ref 2 (see Figure 3), which shows an activation ratio of ~ 70% for a sample doped with B atoms. In this case the sample was prepared by ion implantation followed by excimer laser annealing (ELA). The orange square in the plot corresponds the results reported in Ref. 22 for in situ MBE doping Ge by Ga, yielding an activation ratio of ~ 20% for concentrations near 1019/cm3. Similarly low activation ratios are reported by Refs. 3, 11 and 12 for B-doped Ge by ion implantation routes followed by RTA processing. Authors

Dopant

Method

this study Shimura et al.22 Hellings et al.18 Bogumilowicz et al.5 Radamson et al.15 Impellizzeri et al.2 Satta et al.11 Mirabella et al.12

Ga Ga Ga B B B B B

CVD MBE II + RTA CVD MBE II + ELA PAI + RTA PAI + RTA

Max. p (×1019 cm-3) 26.7 0.8 26(44) 10 30* 0.5 24 57

resistivity(Ω·cm) 4×10-4 3.3×10-3 2.2×10-4* 6×10-4* 3×10-4 N/A 5.6×10-4* 2.2×10-4*

Table 2: Comparison of the CVD approach in this study to Ga doping and various literatures methods focusing on Ga as well as B doping (PAI: preamorphization implantation; II: ion implantation; ELA: excimer laser annealing). The table highlights best carrier concentration and resistivities. The values with asterisks were calculated from published sheet resistances and may be affected by large errors whenever the dopant distribution is not box-like.

Figure 4: Resistivity of Ge:Ga samples obtained from electrical and ellipsometry measurements. The dashed curve shows a fit to bulk p-type Ge samples with p < 6×1019 cm-3 (ref. 31). Data points circled together are from the same samples. The corresponding blue dots are the resistivities measured electrically and plotted against the Hall carrier concentrations. Good agreement with IRSE data (red dots) is observed.

ACS Paragon Plus Environment

The resistivity values for our samples listed in table 1 are plotted in Figure 4 as a function of the hole concentrations (red dots). Electrical measurements of the resistivity are also shown for selected samples (blue dots) plotted against Hall values of the carrier concentrations. We see good agreement with the IRSE data. The resistivity is further compared with an extrapolation of the best fit curve for bulk p-type Ge data provided in ref. 31. The samples in this case

Page 9 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

were mostly doped with Ga at p < 6×1019 cm-3. The trends in Figure 4 indicate that the film resistivities are consistent with those in bulk materials, further validating our CVD approach to Ga doping. The advantages of the new approach are further highlighted in Table 2, which summarizes data from the different studies mentioned above focusing on growth of ptype Ge, including Ga and B doping performed by CVD, MBE, and implantationannealing methods. Carrier concentrations (p) and resistivity values are listed and compared. Our method delivers carrier concentrations that are higher and resistivities that are lower than in any other CVD experiment (while keeping reasonable sample quality), regardless of p-type dopant. Our highest hole concentrations are comparable to the values obtained by the preamorphization implantation (PAI)+RTA method 11,12, which requires at least four steps including SiO2 capping layers, Ge implantation, B implantation and thermal treatments. The significantly increased doping level of our samples clearly demonstrate the benefit from the higher reactivity of [D2GaN(CH3)2]2, in agreement with Ref. 26. Structural and morphological properties: The materials properties of all samples produced in the study were characterized for structure and morphology by high resolution XRD, RBS, cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). Most samples were grown on Ge-buffered Si wafers to facilitate the growth of defect-free epilayers via homo-epitaxy. Previous studies32 revealed that such Ge buffers are of high crystal quality and the typical threading dislocation density is as low as 1×107 cm-2. However, for such samples the XRD peaks from the Ge buffer and Ge:Ga film components overlap very considerably, making it impossible to separate the two contributions. Therefore, for XRD studies we produced several samples on Ge0.95Si0.05/Si platforms. Figure 5 shows diffraction spectra including onaxis 004 peaks (blue line) and 224 reciprocal space maps (colored contours) from one of these samples, with a top Ge film doped with ~1.0×1020 cm-3 Ga acceptor atoms. The epilayer is seen to be fully relaxed relative to the buffer and slightly tensile strained to Si, as Figure 5: XRD (004) and (224) scans for a Ga doped Ge sample grown on top of a Ge0.95Si0.05 buffered Si indicated by the position of the with a 1.0×1020 cm-3 Ga active concentration. Distinct peak maximum above the sets of peaks corresponding to the buffer and epilayer relaxation line. The strain values are shown making it possible to determine the in-plane are 0.06% for the Ge:Ga peak and and vertical lattice parameters of the heavily doped 0.11% for the GeSi buffer. The material. FWHM values for the Ge:Ga peak

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 6: RBS random (black line) and channeled (red line) spectra for a Ga doped Ge sample with carrier concentration of 1×1020 cm-3. The vertical dotted line delineates the Ge:Ga epilayer from the Ge buffer. No Ga signal is observed due to its overlap with the neighboring Ge background and the substitutionality of the dopant.

and the GeSi buffer are 0.081° and 0.077°, respectively, indicating high crystal quality and no degradation due to the incorporation of Ga. The relaxed (cubic) lattice parameter is determined to be 5.65766Å, which is nearly identical to the average Ge reference value 5.65694Å measured under the same conditions. A full account of the Ga-dependence of the lattice parameter based on the theory presented in Ref. 33 will be published elsewhere. Regardless of the template used for growth, the XRD plots of the samples corroborated the single crystal nature and epitaxial alignment between the Si wafer,

the Ge(GeSi) buffer and the doped Ge:Ga epilayer. The similar widths of the XRD scans for film and buffer suggests that the threading dislocation density (TDD) is not affected by Ga incorporation, and this was confirmed by direct TDD measurements on a sample with a carrier concentration of 4×1019 cm-3. The measurements were based on an etch pit density (EPD) method34. The sample was wet-etched using a KMnO4-based solution adopted and modified from ref. 35. An identical treatment was applied to a reference Ge buffer grown on Si with a calibrated TDD value of 2×107 cm-2 from plan-view TEM. Raw TDD values were determined from Nomarski Figure 7: RBS random (grey line) and channeled optical microscopy, and by (blue dotted line) spectra for a 1.3 μm thick Ge:Ga normalizing to the reference we layer grown upon Ge buffered Si(100), exhibiting a obtain a TDD ~3×107 cm-2 for the carrier concentration of 2.32×1019 atoms/cm3. The inset shows the SIMS profile for the top 200 nm Ga-doped sample. It is interesting thickness, revealing a homogeneous distribution of the to note, by comparison with Ref. elements. The grey dashed line in the main panel 34, that the TDD values in our delineates the Ge buffer and Ge:Ga segments of the i-p thermally untreated samples are layer spectrum. lower than those observed in

ACS Paragon Plus Environment

Page 10 of 18

Page 11 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

annealed Ge-on-Si samples grown by the popular "two step" method. We believe, however, that this is unrelated to Ga doping and simply reflects the advantages of the Ge4H10 approach to the growth of Ge on Si.28 RBS measurements were performed to investigate the film thickness and crystallinity and the dopant substitutionality by comparing random and channeled backscattering spectra. Figure 6 shows random and channeled data of a Ge:Ga sample grown on Ge-buffered Si, with a carrier concentration of 1×1020 cm-3 and a p-layer with a thickness of 230 nm. Since the Ga contents in the samples were all below 1%, the Ga signal is not expected to be clearly identifiable in the random spectra, even for the higher Ga content cases, because the backscattered kinetic energies from Ga and Ge are very similar. In the channeled case, however, evidence for the Ga presence should emerge if the Ga does not occupy substitutional sites and/or accumulates at the surface. Instead, we observe excellent channeling, as seen by the reduced intensity of the red line spectrum over buffer and film. The χmin ratio of the aligned over the random peak heights is ~ 4%, indicating that the doped layer is fully commensurate with the Ge buffer and the microstructure is likely devoid of interfacial defects. The excellent crystallinity revealed by RBS and XRD is consistent with the near-unity dopant activation obtained from SIMS and IRSE measurements. Figure 7 shows RBS and SIMS data for a 1300 nm thick sample incorporating 2.32×1019 cm-3 dopants. A comparison of the random (solid black line) and aligned (dotted blue line) spectra confirms the excellent crystallinity. The grey line marks the transition from the Ge buffer to the doped epilayer, illustrating qualitatively the relative thicknesses. The inset of figure 7 shows the SIMS profiles for Ge and Ga atoms in the top 200 nm segment of the same sample, revealing a homogeneous Ga distribution with no sign of surface accumulation. The large thickness combined with the near perfect crystallinity and uniform doping represent a dramatic improvement over the state-of-the-art with obvious potential for device applications. Finally, XTEM was employed to investigate the bulk microstructure. Figure 8 shows a typical image of a Ge:Ga layer grown upon a Ge0.95Si0.05 buffer with a high carrier concentration of 9.9×1019 cm-3. No discernible interface defects or threading

Figure 8: XTEM image of a Ge:Ga layer grown upon a Ge0.95Si0.05 buffered Si wafer. The carrier content is 9.9×1019 cm-3 and the thickness is 500 nm. The inset is a higher magnification image of the Ge:Ga layer, from which threading dislocations could be seen (indicated by vertical arrows). Horizontal arrows show the p/i interface.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

dislocations are visible in the epitaxial layer within the field of view of the low magnification image, but a high magnification image (see inset) reveals sporadic threading dislocations. The p/i (i = intrinsic) interface (highlighted by horizontal arrows) is uniform and the top surface is fairly planar. No clustering or segregation is apparent, corroborating the homogeneous distributions of Ga revealed by the SIMS measurements. Photoluminescence properties: Room temperature photoluminescence (PL) measurements were carried out on a custom-built PL system, consisting of a 980 nm CW IR laser with a 400 mW output power, an optical chopper that provides modulation and reduces the average power by a factor of 2, a 1064 nm long-pass filter, a lock-in amplifier, a Horiba Jobin-Ivan monochromator, and a LN2-cooled InGaAs photodetector. A detailed description of the PL system can be found in ref. 36. The PL spectra of the samples were collected and corrected for filter and detector responses, and then fitted using a multi-peak model. For intrinsic or n-type doped Ge, a typical PL profile comprises a main strong peak associated with emission from the direct band gap E0 and a weaker counterpart attributed to indirect-gap emission. The case of p-type Ge was studied by Wagner and Viña37 in experiments performed at low temperatures, with carrier concentrations ranging from 6×1018 to 7.8×1019 cm-3. In addition to the direct and indirect gaps, Wagner and Figure 9: PL spectra and peak fitting for Ge:Ga Viña observed some additional samples with carrier concentrations of 2.32×1019 features that we also find in the PL cm-3, 9.9×1019 cm-3 and 2.67×1020 cm-3. Gray, red, spectra of our Ge:Ga samples. Figure blue and green dashed lines represent best fits to 9 shows PL spectra (after filter and different transition peaks. For the middle and bottom panels, linear bases (not shown) were used detector response correction) of 19 in data fitting. Ge:Ga samples with p = 2.32×10 -3 19 -3 20 cm , 9.9×10 cm and 2.67×10 cm-3, and thicknesses of 1300 nm, 400 nm and 360 nm, respectively. The spectrum from the p = 2.32×1019 cm-3 sample shows a main peak near 0.79 eV, which we assign to the direct gap, and a very weak feature below 0.70 eV which we believe is associated with the indirect gap. In addition to these peaks, there is a clear high-energy feature near 0.85 eV and a shoulder at even higher energies. As the doping concentration increases, we see that the high-energy peaks become dominant and the E0 peak shifts to lower energy due to band gap renormalization. A reduction of the E0 peak intensity was also observed. One possible reason is non-radiative recombination associated with increased doping, including Auger recombination. The high-energy peak has been assigned by Wagner and Viña to direct transitions without momentum conservation37. Another possibility follows

ACS Paragon Plus Environment

Page 12 of 18

Page 13 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

from the observation that high p-type doping will generate valence band holes at relatively large wave vectors away from the center of the Brillouin zone. These holes create an opportunity for hot electrons to recombine before they thermalize to the bottom of the conduction band. Because such holes are not present in undoped materials, the electrons cascade down to the conduction band minimum, where they contribute to the E0 transition by recombining with the holes always present at the top of the valence band due to the photoexcitation. The hot-electron mechanism would then contribute to the reduction in intensity of the E0 transition for higher doping, since the recombining hot electrons are subtracted from the total number of electrons available for E0 recombination. The particular shape of the high-energy peak may be related to the complicated non-parabolicity and warping of the valence bands, and the apparent constancy of its energy may be due to a fortuitous cancellation of the negative renormalization shift with the positive shift observed as the Fermi level moves further into the valence band. A full account of these phenomena will require detailed modeling beyond the scope of this paper. Figure 10: (a) Schematic of the Ge n-i-p device showing layer thicknesses, doping and compositions. (b) Current voltage plots of diodes with mesa diameters ranging from 100 m to 1000 m.

Diode fabrication and testing: The results above illustrate that the reactions of Ge4H10 and [D2GaN(CH3)2]2 molecules produce p-type Ge using conventional low-temperature CVD. To investigate the device implications of this discovery, we fabricated prototype pin diodes and measured the I-V curves and electroluminescence response. The devices are based on a simple design containing n-Ge/iGe/p-Ge stack, as schematically illustrated in Figure 10 (a). The whole architecture was grown using Ge4H10 as the Ge source on Si (001) substrates. First, a 560 nm n-Ge layer was grown using P(GeH3)3 as the source of P dopants. This bypasses the inherently defective Ge/Si interface, eliminating the effect of carrier recombination traps and problems due to contacts with the Si substrate. Immediately thereafter a 560 nm thick intrinsic region was produced, followed by a 200 nm p-Ge:Ga top electrode. The whole stack was fabricated entirely in the same reactor without interruptions or ex-situ processing steps, allowing for seamless integration of the different layers without introducing undesirable oxide interfaces or other external impurities. Devices in circular mesa geometries were produced using recipes similar to those previously reported for the

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

fabrication of analogous Ge photodiodes.28 Figure 10 provides details of diode sizes, metal contacts, doping levels and thicknesses of the diode components. Current-voltage measurements were conducted and the plots are shown in Figure 10(b) revealing similar diode behavior in all cases regardless of mesa size. The dark current densities at 1V reverse bias fall in the 5×10-3 ~1×10-2 A/cm2 range. This is comparable to our best performing Ge nip photodiodes reported earlier using Ge0.997Sn0.003:B ptype layers28.

0.3 A 0.5 A 0.7 A 1.0 A

Intensity (Arb. Units)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 18

0.60

0.65

0.70

0.75

0.80

0.85

Energy (eV)

Figure 11: EL spectra of a 300 μm Ge p-i-n photodiode using Ge:Ga as the p-layer under different injection currents.

The above diodes were then used to measure electroluminescence (EL). The spectra from a representative 300-μm size device are plotted in Figure 11 as a function of injection current. All spectra contain a strong peak centered at ~0.78 eV corresponding to direct gap emission. This is slightly red-shifted relative to bulk Ge at 0.80 eV due the presence of a residual tensile strain in the Ge layers. Furthermore, the peak position shifts continuously towards to lower energy with increasing injection current, likely due to the heating induced by the high current flowing through the device. In addition to the main peak, a second lower intensity peak is seen at ~0.67 eV and it is attributed to indirect band gap emission. The line shapes of both features are consistent with those observed from analogous studies involving group IV materials containing Ge. Notice that in a pin device the emission originates predominantly from the intrinsic layer. Therefore, the EL spectra in Fig. 11 do not show the emission features in Fig 9 that are characteristic of ptype Ge. Collectively, the results indicate that the newly introduced Ga hydride is a promising in situ source for achieving device -quality doping under low-temperature processing conditions. Conclusion In summary, we have introduced a CVD approach to p-type doping of Ge with Ga. Our approach takes advantage of the [D2GaN(CH3)2]2 (dimethylamine-gallane, or DMA-Ga) precursor, a reasonably volatile Ga hydride which at the growth temperature decomposes yielding Ga atoms that are readily incorporated into the growing film. The resulting films have structural properties comparable to those of pure Ge films, with no signs of Gainduced defects or precipitation. Doping concentrations and activation ratios are shown to be superior to those previously measured in p-type Ge films prepared by any method, providing a striking confirmation of the benefits expected from the higher solubility of Ga in Ge relative to B, which until now represented the state-of-the-art p-type dopant for Ge. A pin device containing a Ga-doped p-layer is shown to have I-V characteristics and optical properties comparable to the best B-doped analogs fabricated in our laboratory. The study presented here suggest that the [D2GaN(CH3)2]2 route represents an intriguing alternative to achieve the ultra-low resistivities needed for Ge-based CMOS.

ACS Paragon Plus Environment

Page 15 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Acknowledgements This work was supported by the AFOSR under grant FA9550-17-1-0314 (Gernot Pomrenke). We acknowledge Miss Ting Hu for her assistance with operations in the GSME experiments. We gratefully acknowledge the use of the John M. Cowley Center for High Resolution Electron Microscopy. Supporting Information Effective mass calculation for acceptors in Ge lattices taking into account the strong nonparabolicity and warping of the hole bands. It was found out that hole effective masses in Ge increase significantly at high doping levels beyond 2×1019 cm-3, so that dopingdependent effective mass values must be used to extract hole concentrations from ellipsometry data.

References: Spitzer, W. G.; Trumbore, F. A.; Logan, R. A. Properties of Heavily Doped n-Type Germanium. J. Appl. Phys. 1961, 32, 1822-1830 2 Impellizzeri, G.; Napolitani, E.; Boninelli, S.; Fisicaro, G.; Cuscunà, M.; Milazzo, R.; La Magna, A.; Fortunato, G.; Priolo, F.; Privitera, V. B-doping in Ge by Excimer Laser Annealing. J. Appl. Phys. 2013, 113, 113505, pp 1-7 3 Chui, C. O.; Gopalakrishnan, K.; Griffin, P. B.; Plummer, J. D.; Saraswat, K. C. Activation and Diffusion Studies of Ion-implanted p and n Dopants in Germanium. Appl. Phys. Lett., 2003, 83(16), 3275-3277 4 Camacho-Aguilera, R. E.; Cai, Y.; Bessette, J. T.; Kimerling, L. C.; Michel, J. High Active Carrier Concentration in n-Type, Thin Film Ge Using Delta-doping. Opt. Mater. Express 2012, 2(11), 1462-1469 5 Bogumilowicz, Y.; Hartmann, J.M. Reduced-pressure Chemical Vapor Deposition of Boron-doped Si and Ge Layers. Thin Solid Films 2014, 557, 4–9 6 Moriyama, Y.; Kamimuta, Y.; Kamata, Y.; Ikeda, K.; Sakai, A; Tezuka, T. In situ Doped Epitaxial Growth of Highly Dopant-Activated n+-Ge Layers for Reduction of Parasitic Resistance in Ge-nMISFETs. Appl. Phys. Express 2014, 7, 106501, pp1-4 7 Jakomin, R.; Beaudoin, G.; Gogneau, N.; Lamare, B.; Largeau, L.; Mauguin, O.; Sagnes, I. P and n-Type Germanium Layers Grown Using Iso-butyl Germane in a III-V Metal-organic Vapor Phase Epitaxy Reactor. Thin Solid Films 2011 519, 4186–4191 8 Xu,C,; Gallagher, J. D.; Sims, P.; Smith, D. J.; Menéndez, J.; Kouvetakis, J. Nonconventional Routes to SiGe:P/Si(100) Materials and Devices Based on -SiH3 and -GeH3 Derivatives of Phosphorus: Synthesis, Electrical Performance and Optical Behavior. Semicond. Sci. Technol. 2015 30, 045007 pp1-11 9 Xu, C.; Gallagher, J. D.; Wallace, P. M.; Senaratne, C. L.; Sims, P.; Menéndez, J.; Kouvetakis, J. In situ Low Temperature As-doping of Ge films using As(SiH3)3 and As(GeH3)3: Fundamental Properties and Device Prototypes. Semicond. Sci. Technol. 2015 30, 105028, pp1-9 1

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Xu, C.; Senaratne, C. L.; Sims, P.; Kouvetakis, J.; Menéndez, J. Ultralow Resistivity Ge: Sb Heterostructures on Si Using Hydride Epitaxy of Deuterated Stibine and Trigermane. ACS Appl. Mater. Interfaces 2016, 8, 23810−23819 11 Satta, A.; Simoen, E.; Clarysse, T.; Janssens, T.; Benedetti, A.; De Jaeger, B.; Meuris, M.; Vandervorst, W. Diffusion, Activation, and Recrystallization of Boron Implanted in Preamorphized and Crystalline Germanium. Appl. Phys. Lett. 2005, 87, 172109, pp1-3 12 Mirabella, S.; Impellizzeri, G.; Piro, A. M.; Bruno, E.; Grimaldi, M. G. Activation and Carrier Mobility in High Fluence B Implanted Germanium. Appl. Phys. Lett. 2008, 92, 251909, pp1-3 13 D’Costa, V. R.; Tolle, J.; Xie, J.; Kouvetakis, J.; Menéndez, J. Infrared Dielectric Function of p-Type Ge0.98Sn0.02 Alloys. Phys. Rev. B 2009, 80, 125209, pp1-7 14 Fang, Y.-Y.; Tolle, J.; Chizmeshya, A. V. G.; Kouvetakis, J.; D’Costa, V. R.; Menendez, J. Practical B and P Doping via SixSnyGe1−x−y−zMz Quaternaries Lattice Matched to Ge: Structural, Electrical, and Strain Behavior. Appl. Phys. Lett. 2009, 95, 081113, pp1-3 15 Radamson, H.H.; Joelsson, K.B.; Ni, W.-X.; Birch, J.; Sundgren, J.-E.; Hultman, L.; Hansson, G.V. Strain Characterization of Gel-xSix and Heavily B-doped Ge Layers on Ge(001) by Two-Dimensional Reciprocal Space Mapping. J. Cryst. Growth. 1996, 167, 495-501 16 Trumbore, F.A. Solid Solubilities of Impurity Elements in Germanium and Silicon. Bell Syst. Tech. J., 1960, 39(1), 205-233 17 Bidwell, L.R. The B-Ge (Boron-Germanium) System. J. Less. Common. Met. 1970, 20(1), 19-27 18 Hellings, G.; Wuendisch, C.; Eneman, G.; Simoen, E.; Clarysse, T.; Meuris, M.; Vandervorst, W.; Posselt, M.; De Meyer, K. Implantation, Diffusion, Activation, and Recrystallization of Gallium Implanted in Preamorphized and Crystalline Germanium. Electrochem. Solid-State Lett. 2009, 12(12), H417-H419 19 Herrmannsdörfer, T.; Heera, V.; Ignatchik, O.; Uhlarz, M.; Mücklich, A.; Posselt, M.; Reuther, H.; Schmidt, B.; Heinig, K.-H.; Skorupa, W.; Voelskow, M.; Wündisch, C.; Skrotzki, R.; Helm, M.; Wosnitza, J. Superconducting State in a Gallium-Doped Germanium Layer at Low Temperatures. Phys. Rev. Lett., 2009, 102, 217003, pp1-4 20 Kawanaka, M.; Iguchi, N.; Fujieda, S.; Furukawa, A.; Baba, T. GeAs as a Novel Arsenic Dimer Source for n-Type Doping of Ge Grown by Molecular Beam Epitaxy. J. Appl. Phys. 1993, 74, 3886-3889 21 Oehme, M.; Werner, J.; Kasper, E. Molecular Beam Epitaxy of Highly Antimony Doped Germanium on Silicon. J. Cryst. Growth, 2008, 310 (21), 4531−4534 22 Shimura, Y.; Takeuchi, S.; Nakatsuka, O.; Vincent, B.; Gencarelli, F.; Clarysse, T.; Vandervorst, W.; Caymax, M.; Loo, R.; Jensen, A.; Petersen, D.H.; Zaima, S. In-situ Ga Doping of Fully Strained Ge1-xSnx Heteroepitaxial Layers Grown on Ge(001) Substrates. Thin Solid Films 2012, 520, 3206–3210 23 Wang, W.; Vajandar, S.; Lim, S. L.; Dong, Y.; D'Costa, V. R.; Osipowicz, T.; Tok, E. S.; Yeo, Y.-C. In-situ Gallium-doping for Forming p+ Germanium-Tin and Application in Germanium-Tin p-i-n Photodetector. J. Appl. Phys. 2016, 119, 155704, pp1-8 24 Wu, Y.; Luo, S.; Wang, W.; Masudy-Panah, S.; Lei, D.; Liang, G; Gong, X.; Yeo, Y.C. Ultra-low Specific Contact Resistivity (1.4 × 10-9 Ω·cm2) for Metal Contacts on InSitu Ga Doped Ge0.95Sn0.05 Film. J. Appl. Phys. 2017, 122, 224503, pp1-7 10

ACS Paragon Plus Environment

Page 16 of 18

Page 17 of 18 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

25

Dapkus, P.D.; M.Manasevit, H.; Hess, K.L.; Low, T.S.; Stillman, G.E. High Purity GaAs Prepared from Trimethylgallium and Arsine. J. Crystal Growth, 1981, 55(1), 10-23 26 Sims, P. E. ; Xu, C.; Poweleit, C. D.; Menéndez, J.; Kouvetakis, J. Synthesis and Characterization of Monocrystalline GaPSi3 and (GaP)y(Si)5–2y Phases with Diamond-like Structures via Epitaxy-Driven Reactions of Molecular Hydrides. Chem. Mater., 2017, 29 (7), 3202–3210 27 Sims, P. E.; Wallace, P. M.; Xu, C.; Poweleit, C. D.; Claflin, B.; Kouvetakis, J.; Menéndez, J. Synthesis and Optical Properties of (GaAs)yGe5-2y Alloys Assembled from Molecular Building Blocks. Appl. Phys. Lett., 2017, 111, 122101, pp1-5 28 Xu, C.; Beeler, R. T.; Jiang, L.; Grzybowski, G.; Chizmeshya, A. V. G.; Menéndez, J.; Kouvetakis, J. New Strategies for Ge-on-Si Materials and Devices Using Nonconventional Hydride Chemistries: the tetragermane case. Semicond. Sci. Technol. 2013, 28, 105001, pp1-10 29 Xu, C.; Gallagher, J. D.; Senaratne, C. L.; Menéndez, J.; Kouvetakis, J. Optical Properties of Ge-rich Ge1−xSix Alloys: Compositional Dependence of the Lowest Direct and Indirect Gaps. Phys. Rev. B 2016, 93, 125206, pp1-9 30 Grzybowski, G.; Jiang, L.; Beeler, R. T.; Watkins, T.; Chizmeshya, A. V. G.; Xu, C.; Menéndez, J.; Kouvetakis, J. Ultra-Low-Temperature Epitaxy of Ge-based Semiconductors and Optoelectronic Structures on Si (100): Introducing Higher Order Germanes (Ge3H8, Ge4H10). Chem. Mater., 2012, 24, 1619-1628 31 Cuttriss, D. B. Relation Between Surface Concentration and Average Conductivity in Diffused Layers in Germanium. Bell Syst. Tech. J. 1961, 40(2), 509-521 32 Jiang, L.; Xu, C.; Gallagher, J.D.; Favaro, R.; Aoki, T.; Menéndez, J.; Kouvetakis, J. Development of light emitting group IV ternary alloys on Si platforms for long wavelength optoelectronic applications. Chem. Mater., 2014, 26 (8), 2522-2531 33 Xu, C.; Senaratne, C. L.; Kouvetakis, J.; Menéndez, J. Experimental Doping Dependence of the Lattice Parameter in n-Type Ge: Identifying the Correct Theoretical Framework by Comparison with Si. Physical Review B 2016, 93, 041201(R), pp1-5 34 Lee,K. H.; Bao, S.; Lin, Y.; Li, W.; Anantha, P.; Zhang, L.; Wang, Y.; Michel, J.; Fitzgerald, E. A.; Tan, C. S. Hetero-epitaxy of High Quality Germanium Film on Silicon Substrate for Optoelectronic Integrated Circuit Applications. J. Mater. Res. 2017, 32(21), 4025-4040 35 Souriau, L.; Atanasova, T.; Terzieva, V.; Moussa, A.; Caymax, M.; Loo, R.; Meuris, M.; Vandervorsta, W. Characterization of Threading Dislocations in Thin Germanium Layers by Defect Etching: Toward Chromium and HF-Free Solution. J. Electrochem. Soc. 2008, 155(9) H677-H681 36 Gallagher, J. D.; Xu, C.; Jiang, L.; Kouvetakis, J.; Menéndez, J. Fundamental Band Gap and Direct-Indirect Crossover in Ge1-x-ySixSny Alloys. Appl. Phys. Lett. 2013, 103, 202104, pp1-5 37 Wagner, J.; Viña, L. Radiative Recombination in Heavily Doped p-Type Germanium. Phys. Rev. B, 1984, 30 (12), 7030-7036

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

For Table of Contents Only

ACS Paragon Plus Environment

Page 18 of 18