Free-Radical-Induced Grafting from Plasma Polymer Surfaces

Mar 4, 2016 - Dr. Sergey Ershov is a postdoctoral researcher at Luxembourg Institute of Science and Technology (LIST). He graduated with an engineerin...
0 downloads 13 Views 13MB Size
Review pubs.acs.org/CR

Free-Radical-Induced Grafting from Plasma Polymer Surfaces Farid Khelifa,†,§ Sergey Ershov,†,‡,§ Youssef Habibi,*,‡ Rony Snyders,† and Philippe Dubois†,‡ †

University of Mons (UMONS), Institute of Research in Science and Engineering of Materials, Place du Parc, 23, 7000 Mons, Belgium ‡ Materials Research and Technology Department (MRT), Luxembourg Institute of Science and Technology (LIST), Rue du Brill 41, 4422 Belvaux, Luxembourg ABSTRACT: With the advances in science and engineering in the second part of the 20th century, emerging plasma-based technologies continuously find increasing applications in the domain of polymer chemistry, among others. Plasma technologies are predominantly used in two different ways: for the treatment of polymer substrates by a reactive or inert gas aiming at a specific surface functionalization or for the synthesis of a plasma polymer with a unique set of properties from an organic or mixed organic− inorganic precursor. Plasma polymer films (PPFs), often deposited by plasma-enhanced chemical vapor deposition (PECVD), currently attract a great deal of attention. Such films are widely used in various fields for the coating of solid substrates, including membranes, semiconductors, metals, textiles, and polymers, because of a combination of interesting properties such as excellent adhesion, highly cross-linked structures, and the possibility of tuning properties by simply varying the precursor and/or the synthesis parameters. Among the many appealing features of plasma-synthesized and -treated polymers, a highly reactive surface, rich in free radicals arising from deposition/treatment specifics, offers a particular advantage. When handled carefully, these reactive free radicals open doors to the controllable surface functionalization of materials without affecting their bulk properties. The goal of this review is to illustrate the increasing application of plasma-based technologies for tuning the surface properties of polymers, principally through free-radical chemistry.

CONTENTS 1. Introduction 2. Plasma Principles 2.1. Plasma Basics 2.2. Plasma Generation 3. Plasma Polymerization: A Particular Type of ThinFilm Plasma-Based Deposition Technique 4. Plasma Treatment: A Means for Grafting Molecules onto Polymers 5. Surface Reactivity and Free-Radical Quantification 6. Plasma-Initiated Polymerization 6.1. Grafting onto Plasma-Synthesized Polymers 6.2. Grafting onto Plasma-Treated Polymers 7. Conclusions Author Information Corresponding Author Author Contributions Notes Biographies Acknowledgments References

material to be modified in a controlled manner without affecting its bulk properties, synthesis in plasma has opened doors to the development of a novel class of materials for which the structure−property relationships can be varied considerably depending on the final application. Surface functionalization with particular groups of interest through the plasma treatment of polymers is a well-established method commonly applied in various domains,1−7 whereas organic plasma polymer films (PPFs) are attracting continuously growing attention in biomedicine and microelectronics.8−11 Among the many appealing features of plasma-synthesized and -treated polymers, their highly reactive surfaces, rich in free radicals arising from deposition/treatment specifics, offer tremendous advantages. The present review summarizes current knowledge of how the free radicals present on the surface of plasma-treated or -synthesized polymers and considered to be the primary reason for aging can be advantageously used for controlled functionalization to incorporate desired functionalities or to initiate a free-radical-induced polymerization. To achieve this goal, a thorough investigation of the surface free-radical density, closely associated with the grafting potential, is shown to be of prime importance when the aim is efficient surface-chemistry modification. The free-radical-driven tunable reactivity of a plasma-treated or -synthesized polymer surface makes such surfaces promising platforms for generating surfaces enriched

3975 3976 3977 3978 3979 3986 3987 3991 3991 3993 3995 3996 3996 3996 3996 3996 3997 3997

1. INTRODUCTION Plasma technologies find increasing application in the modern world in terms of both materials processing and synthesis. Whereas surface plasma treatment allows the surface of the © 2016 American Chemical Society

Received: October 25, 2015 Published: March 4, 2016 3975

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

from oxidation prior to polymerization) or after an intermediate grafting, such as grafting of halogen groups, and subsequent atom-transfer radical polymerization. The final section concludes the review by summarizing the most relevant points concerning the surface free radicals generated during plasma polymerization or plasma treatment and their potential for subsequent controlled surface functionalization.

with desired functionalities ranging from simple hydrocarbons and amines to complex chains, depending on the monomer type. To support this claim, recent advances in the initiation of a polymerization reaction from the free radicals generated during plasma synthesis/treatment are presented. The current review differentiates itself from recent reviews on related subjects8,9,12−20 by placing its major focus on the role of the surface free radicals in the formation and functionalization of both plasma-synthesized and plasma-treated polymers. The majority of published reviews often deal with a specific aspect or particular use of a plasma treatment/polymerization and corresponding surface functionalization. For example, Siow et al.17 provided a thorough overview of plasma-based methods for surface functionalization with amine, carboxy, hydroxy, and aldehyde groups aimed at the covalent immobilization of biologically active molecules, whereas Denes and Manolache18 demonstrated the potential of macromolecular plasma chemistry for advancing new technologies by presenting a very extensive overview of applications of all kinds of plasmas (low and high pressure). Although data on the surface functionalization of plasma-treated polymers are quite abundant, the same topic for plasma polymers has been much less extensively reported, and in both cases, the free-radical part is often either mentioned briefly or assumed tentatively if not completely overlooked. In the current review, we closely consider surface free radicals and their generation and quantification as a prerequisite for the successful surface functionalization of both plasma-synthesized and -treated polymers and, thereby, address the gap existing between free-radical- and surface-functionalization-related data. The grafting potential of surface free radicals, as a beneficial countermeasure against inevitable and uncontrollable postoxidation, and examples of its successful application constitute the main body of the review. Sections 2 and 3 serve the goal of reminding readers of the fundamental concepts related to plasma and plasma polymerization. The latter has been extensively studied and reported by different groups, resulting in the development of several models (e.g., those of Yasuda, d’Agostino, and Hegemann and cumulative models), and therefore, an attempt is made to provide an updated overview of these models. A relatively new approach of combining a thorough plasma-phase analysis with synthesized thin-film characterizations and theoretical calculations (e.g., density functional theory) is also presented. The origins and nature of free radicals, the principal polymerforming species in plasma polymerization, are explained with the help of such concepts as mean electron energy and homolytic bond scission. The role of free radicals in the plasma−surface interactions during the synthesis of a plasma polymer is vividly demonstrated by several reaction pathways. Section 4 focuses on the treatment of polymers by plasma, pointing out its similarities with plasma polymerization in terms of plasma−surface interactions, and deals more specifically with the direct grafting of molecules on polymer surfaces through plasma treatment. Section 5 addresses in detail the issue of surface free radicals, their reactivity, and their potential beneficial use as opposed to oxidation and also offers a survey of different means of quantifying free radicals (e.g., electron spin resonance, chemical derivatization). Section 6 describes the initiation of polymerization reactions on the surface of plasma-synthesized and -treated polymers. The initiation reaction can be triggered either directly by the free radicals generated during plasma treatment (given that a careful control of vacuum conditions allows the free radicals to be protected

2. PLASMA PRINCIPLES A plasma is often nominally defined as an ionized gas containing a mixture of electrons, ions, neutrals, and photons. Plasmas are considered to be macroscopically neutral because the electrons have the same density as the ions. Plasma was discovered in 1920 by Irving Langmuir while working on the development of vacuum tubes for large currents.21 In 1928, Langmuir was the first to use the term “plasma” to describe an ionized gas because of the similarities he saw with blood plasma. Langmuir wrote: “Except near the electrodes, where there are sheaths containing very few electrons, the ionized gas contains ions and electrons in about equal numbers so that the resultant space charge is very small. We shall use the name plasma to describe this region containing balanced charges of ions and electrons.”22 In an ideal gas, according to the kinetic theory of gases, the particles collide elastically with each other and with the reservoir walls. These collisions cause particles to exhibit random Brownian motion.23 The situation within a plasma is different: The presence of charged species, namely, ions and electrons, makes local concentrations of positive and negative electrical charges possible. The long-range impact of Coulomb forces created by these charge concentrations affects the motion of charged particles even far from their point of origin. Therefore, a more accurate definition of plasma would be “a quasi-neutral gas of charged and neutral particles characterized by a collective behavior”.21,24 In many well-recognized textbooks,24,25 plasma is often referred to as the fourth state of matter (Figure 1).

Figure 1. The four states of matter.

Indeed, when subjected to a continuous increase in temperature, a solid material undergoes sequential transitions to liquid and gaseous states. When the temperature of the molecular gas is high enough, molecules are split to form an atomic gas. A further input of energy brings atoms into the ionized state, and the gas eventually changes into plasma.26 Even though this state is still not completely understood and has only relatively recently been established as compared to the other states, 99% of the matter in the universe exists in the form of plasma: the Sun; interplanetary, interstellar, and intergalactic media; Earth’s ionosphere. On our planet, the most common natural plasma phenomena, lightning and aurora borealis, take place in Earth’s atmosphere.27 The temporal occurrence of natural plasma on Earth is extremely limited when compared to the continuous plasma existing in the entire universe. However, plasma can be generated artificially mostly through the 3976

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 2. Plot of the densities and temperatures of electrons in natural and artificial plasmas.

electrical excitation of a gas on a laboratory or industrial scale. Artificial plasmas find increasing application in a great variety of research and technological domains,28−31 offering many appealing advantages, including having an environmentally friendly impact.32,33

ionization is often about a few percent or even less. As a consequence of the low density and small heat capacity of electrons, the plasma surroundings can be kept at a relatively low temperature despite the high electron temperature.21 Electrons are considered to be the energy vehicles of plasma, and they play a dominant role in most chemical reactions. This nonequilibrium feature makes cold plasmas attractive for technological applications because of the possibility of performing high-temperature chemistry under ambient conditions. Cold plasma technologies are used extensively in materials processing for thin-film synthesis, surface functionalization, and sterilization, among others.17,26,34−39 A glow discharge is a type of cold plasma that is commonly employed for the synthesis of thin films. For conventional excitation sources [direct-current (dc), radio-frequency (RF)], cold plasma is characterized by an electron energy ranging from 1 to 10 eV and a low degree of ionization from 10−6 to 10−3.21,26 Henceforth, within the framework of the current review, the discussion will concern only one type of plasma, namely, glow discharges operating at low pressure (10−3−1 Torr). Plasma-phase chemistry is governed by the electron density and energy because, in plasmas, electrons hold most of the energy. In turn, the electron density and energy are strongly affected by the process parameters. For example, the working pressure determines the collision rate, whereas the applied electrical field strength strongly influences both the electron density and the electron energy distribution function (EEDF), a measure of the number of electrons within a unit energy interval. An example of the Maxwell−Boltzmann EEDF, which is widely used as a good approximation of electron energy distribution for low-pressure discharges,27 is shown in Figure 3. Whereas the majority of electrons have a kinetic energy of about 2−3 eV, the distribution exhibits a high energy tail, ranging from 4 to 12 eV. Depending on the electron energy, collisional events can cause various chemical reactions to occur in the discharge. The low degree of ionization of cold plasma

2.1. Plasma Basics

Plasmas are described by, among other parameters, their degree of ionization, which represents the fraction of neutral particles that are ionized into charged particles with respect to the total number of species in the discharge. The number of ions is equal to the number of electrons in cases when only positive ions are formed. The degree of ionization approaches 1 for a fully ionized plasma (sun corona or solar wind), and it is often considerably less for plasmas used in materials processing.21,26 In addition to electrons, the ions and neutrals moving in plasma all undergo numerous collisions accompanied by energy transfer. Therefore, each particle type can be characterized by a specific temperature related to its mean translational energy. In plasma science, this temperature is normally expressed in units of electronvolts (eV) with 1 eV = 11600 K. Plasmas can be roughly divided into two groups, cold and hot plasmas, when considering the difference in temperature for various species. A broad range of plasmas occurring naturally in the cosmos and artificially on Earth are represented on the plot of two important plasma parameters, namely, the density of electrons and the electron temperature, in Figure 2. For hot plasmas, the state of thermodynamic equilibrium is achieved between all particles when electrons, ions, and neutrals have the same temperature. These plasmas have a degree of ionization of 1, and an example application of hot plasmas is controlled thermonuclear fusion. Cold plasmas are characterized by a thermodynamic nonequilibrium between the heavy particles and electrons. The electron temperature, typically ranging from 1 to 10 eV, is very different from the temperature of ions and neutrals, which is close to room temperature (∼0.025 eV). For these plasmas, the degree of 3977

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

discharge, as it can considerably influence the electron density and energy distribution function. A dc discharge is created when two conductive electrodes are placed in a low-pressure chamber filled with gas. The applied dc voltage, normally in the range of 102−103 V, will generate an electric field that affects a few of the electrons naturally present in the gas (radioactivity or cosmic rays). Those electrons, accelerated by the field, gain and release energy through collisions with working gas molecules. Once an electron has acquired sufficient energy to overcome the ionization threshold, it can produce another electron in an inelastic collision. The newly generated electron feels the electrical field, like the original one, and repeats the ionization collision scenario. When this electron multiplication process is counterbalanced by the loss of charge carriers to the chamber walls, a plasma steady state is reached. The discharge glows because electronically excited gas particles relax by emitting photons.21,43 The simplified structure of a dc glow discharge is presented schematically in Figure 4.

Figure 3. Typical Maxwell−Boltzmann electron energy distribution function for low-pressure discharge. (Adapted with permission from ref 40. Copyright 2012 Wiley-VCH.)

causes the most probable collisions to involve electrons and neutrals. In the case of elastic collisions (conservation of total kinetic energy of two bodies after the encounter), only about 1% of the kinetic energy can be transferred because of the huge difference in mass between an electron and a neutral. However, in the case of inelastic collisions (interconversion of kinetic and internal energies of colliding particles), almost all of the kinetic energy of the electron can be turned into the internal energy of the neutral particle.35 In these collisions, numerous chemical reactions such as ionization, excitation, dissociation, and others take place and define the plasma chemistry.26,35,40 Another characteristic feature of plasma is the ability to shield externally applied electric fields. If two connected electrodes are immersed in a plasma, they will attract charge carriers of the opposite sign. A cloud of electrons and ions will surround each electrode and will protect the neutralequipotential plasma bulk from the external electric field. This charged particle response is called Debye shielding.21,34 If an insulating material is immersed into a plasma, it will accumulate a net negative charge because of the higher thermal velocity of electrons. The charged surface will, in turn, attract ions and repel electrons toward the plasma, establishing an equilibrium between the two flows. As a result, this insulating object accumulates a negative potential, called a floating potential, with respect to the potential of the plasma. This potential is always lower than the plasma potential by a few volts to 15 V depending on the type of discharge.35 As a consequence, the growing film is subjected to ion bombardment with a kinetic energy between a few electronvolts and 15 eV. The region over which this potential penetrates into the equipotential plasma is called the sheath. The sheath has a thickness in the range of several Debye lengths (typically ranging from 20 to 700 μm)41,42 and is an ion-rich region with a low electron density. Sheaths appear when a plasma meets a solid object such as a vacuum chamber wall, electrode, substrate, or probe.21,24,26

Figure 4. Schematic structure of a dc glow discharge.

Sheaths are created close to both electrodes and are the regions with the largest voltage drop. Ions accelerated toward the cathode over the sheath arrive from the negative glow and produce more electrons upon striking the cathode due to secondary-electron emission. The negative glow is the region where the most excitation and ionization collisions occur because of the high density of negatively and positively charged particles in this area. The positive column is a luminous region with a low charge density that prolongs the negative glow to the anode.35,44 To avoid extinguishing the dc glow discharge in processes where the target turns into a capacitor after being gradually covered by an insulator, an alternating electrical signal can be applied. Through the use of alternating current, the positive charge accumulated during the negative half-cycle is neutralized by the electron flux during the positive half-cycle.45 Continuous ion bombardment of the target can be achieved because of the higher mobility of electrons when high frequencies, typically in the RF range, are applied. However, international authorities strongly encourage the use of 13.56 MHz frequency (and its harmonics) to avoid interference with broadcasting frequencies.35 A matching network between the RF power supply and the electrodes is required to match the impedance of the glow discharge with that of the RF generator and to ensure power release into the plasma. Plasmas can be generated in capacitive and inductive systems with the help of an RF signal. A capacitive system is similar to a dc discharge where two electrodes are immersed in a lowpressure gas environment. The notable feature here is the development of a self-bias voltage on the RF-powered electrode. It originates from the difference in mobility of ions and electrons during half-cycles and ensures the continuous ion

2.2. Plasma Generation

Cold plasmas, including the low-pressure glow discharge that is in the focus of the current review, can be generated artificially in laboratories or on an industrial scale by applying either a continuous (dc) or an alternating-current (ac) electrical signal to the gas. The source of plasma defines the properties of the 3978

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

bombardment of an electrode even when covered by an insulator.32,45 The self-bias value, which, in turn, defines the energy of the bombarding ions, can be intentionally controlled by varying the applied RF power or the area ratio of the electrodes. Figure 5 shows an example of the evolution of the RF tension.46 VA represents the real tension applied by the RF

Figure 6. Schematic drawing of the magnetic field around an RFpowered coil.

and a high electron temperature and plasma potential. In contrast, the inductive regime, also called “H mode”, can be characterized by an electron density that is 1 or 2 orders of magnitude higher (ne > 109 cm−3).48 Furthermore, such a discharge yields stronger light emission and a low electron temperature and plasma potential. The transition between the two regimes is reported to be abrupt and to appear at a critical RF power value, as illustrated in Figure 7.26,48−52 Two modes of operation can be easily distinguished by the naked eye because of the strong visual dissimilarity of the discharge, as demonstrated in Figure 8. For capacitive mode, a weak glow is localized along the RF-powered extremity of the coil, whereas for inductive mode, a more uniform plasma is confined inside and around the coil.53 Figure 9 roughly summarizes the different types of plasma processes that are commonly used for materials processing. The current review focuses on plasma polymerization, which is a specific case of the plasma-enhanced chemical vapor deposition (PECVD) technique, as well as on the functionalization of conventional polymers using low-pressure plasma technology.

Figure 5. Origin of the autopolarization tension. (Adapted with permission from ref 46. Copyright 2009 University of Mons.)

generator, whereas VB represents the tension at the surface of the electrode. During the first negative cycle and the discharge generation, VB increases as a result of the bombardment of the electrode by ions. After one-half of the cycle, polarity inversion leads to electron bombardment, and because these electrons are much more mobile than ions, the number of electrons accumulated on the electrode is higher than the number of ions. After several cycles, the average tension consequently becomes increasingly negative, and the final autopolarization tension is reached once the ionic and electronic currents are equal.35 An inductively coupled plasma discharge utilizes an induction coil or at least one of its turns. The coil is a coaxial electrode where the inner and outer tubes serve as the cathode and anode, respectively. It can be located inside the vacuum chamber or outside, encircling it, as long as the chamber material is RF-transparent. Plasma generation in an inductive system is based on the electromagnetic induction principle.32,47 When a time-varying electric current is flowing within a coil, it creates a time-varying magnetic field around it (Figure 6). This magnetic field, in turn, induces an azimuthal electrical field that is exerted on the electrons present in the gas, leading to discharge generation and preservation. The variation in the electromagnetic field induced by the alteration of the RF current provides electrons, the only species sensitive to the electromagnetic field, with a certain kinetic energy and leads to the ionization of the gas through collisions that are mainly inelastic. Although a reactor with an inductive coil is normally used to inductively couple the energy to the discharge, the reactor can also operate in capacitive mode depending on the applied power. In capacitive mode, the discharge is sustained with the help of an electrical field generated by the potential difference between the coil extremities that act as electrodes according to the mechanism described before. In this case, the induced electrical field is too low to efficiently “excite” the electrons.32 This capacitive regime, also called “E mode”, is characterized by a low electron density (109 cm−3 < ne), a faint light emission,

3. PLASMA POLYMERIZATION: A PARTICULAR TYPE OF THIN-FILM PLASMA-BASED DEPOSITION TECHNIQUE Depending on the nature of the material to be covered and the final objective, thin films are currently used for a multitude of applications because of the advantageous and tunable properties they grant to a surface without affecting the bulk.54−57 Many different deposition techniques, such as spin coating,58 doctor blading,59 spray coating,60 thermal evaporation,61 and bar coating,62 are employed for the synthesis of thin films on solid materials. Deposition techniques employing plasma technology can be divided into two main categories: physical vapor deposition (PVD),63 involving plasma, and the plasmaenhanced version of chemical vapor deposition (PECVD).64,65 During a PVD process, the target material is vaporized by different means, mainly involving plasma generation (except for thermal evaporation), and is subsequently deposited onto the substrate surface. The chemical composition of the film can be tuned by introducing various reactive gases (O2, N2) to form nitrides, oxides, carbides, or even more complex com3979

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 7. Typical evolution of the electron density as a function of power for an inductively coupled discharge. (Reprinted with permission from ref 48. Copyright 2011 AIP Publishing LLC.)

pounds.63,66 This process, used mainly for the deposition of metal-based layers, is not within the scope of the current review and will not be addressed henceforth. During a conventional chemical vapor deposition (CVD) process, gaseous precursors are introduced into the deposition chamber, where they react, and their reaction/decomposition products form a film on the substrate to be covered. In this process, the substrate temperature needs to be relatively high, about 1000 °C or above,64,66 to ensure that efficient chemical reactions occur on the substrate surface. Although this high temperature is good for diffusion at the interface and enhanced bonding of the film, it often causes microstructural/compositional changes, softening, deformation, or even melting (if one considers polymeric materials) of the substrate material. To protect the substrate from these adverse modifications, lower growth temperatures are preferred. These can be achieved with the help of the plasma-modified version of the CVD process: plasma-enhanced chemical vapor deposition (PECVD). This technique employs the energy of a plasma to considerably

Figure 8. Schematic drawing of the differences between (left) capacitive and (right) inductive operational mode discharges.

Figure 9. Schematic classification of plasma-based technologies. 3980

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

bears little resemblances to conventionally synthesized polymers except in the nature of the main constituent chemical elements: C, O, H, and so on. The structures of plasma polymers are very different; they are characterized not by a classical repetition of duplicate monomer units but rather by a dense, highly cross-linked and heterogeneous network.55,66,73 Structural differences between conventional and plasma polymers obtained from the same monomer are depicted schematically in Figure 10.

enhance the reaction rates of precursors and thus allows lower process temperatures to be maintained.67 Plasma-enhanced chemical vapor deposition (PECVD), or more rarely plasma-activated chemical vapor deposition (PACVD), is an acclaimed alternative for the synthesis of thin films at lower temperatures than those generally exercised in CVD processes but without compromising film quality.66 To give a minor example from microelectronics, the PECVD synthesis of high-quality silicon dioxide films takes place at temperatures approximately 400 °C lower than those used in CVD.68−71 Once the CVD process is set to operate through the exploitation of energy from a plasma discharge, rather than thermal energy, it becomes a PECVD process. The general principle of PECVD is based on the introduction of a precursor gas (organic or inorganic) into a high-vacuum chamber and the application of a potential difference for the initiation and maintenance of the discharge. A high vacuum is an important prerequisite that helps to avoid, or at least minimize, side reactions with contaminants including oxygen, water vapor, and other species. In the course of the PECVD process, the gasphase chemistry, specifically, fragmentation of the precursor gas molecules and consecutive plasma-phase reactions, is governed by activated energetic species, generated in the discharge predominantly by direct electron impact collisions.72 The generation of reactive and energetic species occurs through collisions exclusively in the gas phase, maintaining the substrate at a low temperature. This advantageously allows one to employ precursors with a low reactivity for depositions on, for example, temperature-sensitive substrates such as conventional polymers. Among the many attractive characteristic properties of PECVD films, good adhesion, low pinhole density, good step coverage, and uniformity should be mentioned. Traditionally, two types of reactors have been used for PECVD depositions: bell-jar reactors in which the discharge is generated between internal parallel-plate metal electrodes and reactors equipped with an internal/external coil or ring electrodes. For plasma generation, electrodes can be fed by either radio-frequency (RF) or dc/ac power supplies. Microwave plasmas have also been employed in a number of processes.73−76 Typically, radio frequencies vary in the range from 1 to 300 MHz, but here again, international authorities encourage the use of the sole frequency of 13.56 MHz (and its harmonics) to avoid interference with communications, and this has become the most common RF frequency in PECVD process.35 The substrate can be placed directly between the two discharge electrodes or in close proximity to the induction coil; in both cases, it will be completely immersed in the active plasma zone. Alternatively, in a remote system, the substrate resides some distance away from the plasma and is not in direct contact with the generated discharge. In this case, the PECVD process is considered to be gentler as a result of a reduced interaction with plasma particles.64 A specific type of PECVD process involving a particular chemical reaction, namely, a polymerization reaction (of molecular fragments and not of intact molecules as in conventional polymerizations), called plasma polymerization, is at the center of attention of this review. Therefore, the basics of plasma polymerization and certain specific characteristics of plasma polymer films (PPFs) are addressed hereafter. During plasma polymerization, the activation of an organic gas in a plasma leads to the deposition of an organic thin film, commonly referred to as a “plasma polymer” or “plasma polymer film” (PPF). This relatively novel class of materials

Figure 10. Schematic representations of a plasma polymer film and a conventional polymer synthesized from the same monomer.

Because of their specific structure, arising from their formation mechanism, plasma polymers exhibit an interesting set of physicochemical properties, including good mechanical resistance.76−78 Moreover, plasma polymers exhibit strong adhesion to a wide variety of substrates (ceramic, metals, etc.), and the deposition technique allows even complex geometrical shapes to be covered by thin films with tunable thicknesses ranging from several to hundreds of nanometers.79,80 These characteristics have allowed PPFs to find increasing applications in various fields. For example, plasma polymers have been used to produce barrier layers for the corrosion protection of metals,81,82 in food packaging,83−85 and in controlled drug release.80,86−88 The property of interest in the current review, namely, a high surface reactivity, is the origin of the main drawback of PPFs (aging through oxidation) and will be addressed in more detail in the following sections. At the moment, it is sufficient to mention that the surface reactivity, when carefully handled through controlled functionalization, opens doors for the application of PPFs in many different domains, such as in the design of biosensors for medical diagnostics89,90 and in the development of superhydrophobic surfaces.91,92 To lay a foundation for understanding the structure and hence the properties of plasma polymers, we first briefly present the mechanisms of their formation in the plasma. In the 1960s and 1970s, plasma polymerization mechanisms were largely debated, and various mechanisms, such as radical, chemical chain growth, fragmentation−recombination, and others, were proposed.93−96 Among these suggested kinetic models, those of Lam et al.,97 Poll et al.,98 and Yasuda73 have been applied most frequently. These models are based on a competitive ablation and polymerization (CAP) principle that relates the deposition of a solid material from the plasma phase to the ablation of materials also in the plasma phase. These processes, ablation and polymerization, take place concurrently and competitively in a counteractive manner in the discharge. The balance between them depends, in each specific case, on the set of deposition parameters and can vary the PECVD process outcome considerably, from the etching of a substrate 3981

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

take place when a nonradical site in the growing polymer is activated either through collision with plasma particles or through absorption of plasma-emitted radiation.102,103 Photons with wavelengths in the vacuum ultraviolet range (10−200 nm) are energetic enough to dissociate chemical σ-bonds to a depth of approximately 50 nm from the surface of the growing film front.104−106 Photolysis reactions, caused by this absorption, generate more free radicals capable of undergoing secondary cross-linking reactions in the bulk. In the agitated plasma environment, most of the mentioned reactions occur abundantly and concurrently often in a mingled completing/ competing manner. Some possible reaction combination routes of a precursor molecule in a plasma are presented in Figure 11

to the deposition of a plasma polymer. The CAP principle helps to explain the intricate and interrelated interactions of various plasma particles generated during precursor fragmentation, leading to plasma polymer formation.73,75,98,99 A very likely collision event between an electron, with an average energy centered at about 2−5 eV, and a precursor gas molecule is known as a direct electron impact. These collisions are capable of breaking up initially stable molecules, with chemical bond energies in the range of 3−4 eV, into a variety of chemically unstable species: ions, free radicals, activated fragments, excited states, electrons, and photons.73 The generation of ions is very limited, however, because the ionization-energy threshold is rather high (>10 eV) in comparison to the average electron energy.100 Therefore, the most probable scenario in a collision event between an electron and an organic molecule is homolytic bond scission. This can lead to the dissociation of the molecule into relatively large activated fragments and/or into relatively short reactive free radicals depending on the energy input. Because of their dominant concentration in plasmas, free radicals are considered to be the main species contributing to polymer formation, which proceeds chiefly through radical−radical or radical−molecule reactions. The unpaired electron in the radical structure makes it highly reactive toward other radicals and toward unsaturated bonds in molecules. It is the reactivity of free radicals that makes the mechanism of the plasma polymer formation, when considered from this particular point of view, partially resemble the mechanism of conventional free-radical polymerization in wet chemistry. The main difference arises from the polymerizationinitiating species. The starting site is often random in plasma polymerization because of the diversity of precursor fragmentation routes, whereas in conventional free-radical polymerization, the building block (the monomer to be polymerized) is always the same. However, similarly to conventional radical polymerization, the reactions of initiation, propagation, termination, and reinitiation of radicals (with each other and molecules) are principally applied for a description of the plasma polymer growth mechanism.14 Plasma polymerization offers a valuable advantage of creating highly reactive radical species through a homolytic bond rupture upon collision of a molecule with an electron practically from any precursor that can be vaporized and introduced into the vacuum chamber. The limitation of using a particular molecule as the basic building block, as in conventional free-radical polymerization, no longer holds true. Free radicals in the gas phase react in a multitude of pathways, that is, polyrecombine, eventually leading to the formation of the plasma polymer. Reactions between the radical on the growing film front with another radical, or biradical, as well as electrophilic attack of an unsaturated bond of an intact molecule can be mentioned as a few examples of the many interaction channels. Recombination reactions between two radicals (one of which is entrapped in the plasma polymer) terminate the growing chain by using up the radicals’ reactivity and momentarily restores all of the bonds. However, if two free-radical species recombine in the gas phase and form a stable molecule, this molecule might be pumped out of the chamber without participating in further reactions if fragmentation kinetics (determined, e.g., by working pressure or residence time in the plasma) allow for that possibility. Free radicals trapped within the film and located at such a distance from each other as to allow for their interaction can eventually recombine, causing internal restructuring and increasing the degree of cross-linking.101 Reinitiation reactions

Figure 11. Schematic representation of the rapid step-growth polymerization model. (Reprinted with permission from ref 107. Copyright 2003 Elsevier.)

in the rapid step-growth polymerization (RSGP) model proposed by Yasuda in 1985.73,107 The alteration of radical recombination/termination reactions with the reinitiation of the produced stable species in a plasma lies at the roots of the RSGP model. To gain a better understanding of the plasma polymer formation on the substrate, the RSGP model, describing radical reactions in the plasma phase, should be considered for a radical on the growing film front and correlated with the CAP principle, based on the simultaneous occurrence of etching and deposition processes.14,99,108−110 Ablation originates from the fact that some reactive noncarbon radicals, such as •O• and •S•, generated in a plasma can react with the growing polymer surface front to produce stable molecules, such as CO2, CO, and CF4. These stable products can desorb from the PPF, causing part of the deposited material to be removed. They can either be pumped out of the vacuum chamber intact or be reactivated by electrons and undergo a variety of RSPG reactions. Along with chemical etching, ablation can be caused by physical interactions on the surface, such as photon, electron, and ion impacts. Although ionic species have relatively low concentrations in the discharge, their influence on polymer formation should not be completely excluded, and this influence is taken into account by the ion-activated growth model (AGM) of deposition proposed by d’Agostino.111−113 This model considers the flow of ions with a typical kinetic energy of 10−30 eV directed at the substrate and responsible for the formation of dangling bonds in the surface regions. These bonds, along with the photo3982

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

excited ones, can act as preferential sites on the growing film front for reactions with reactive species from the plasma.113 The impinging ions can additionally cause such phenomena as ion-assisted etching or densification of the film to take place.13,114−117 When combined together, these complementary models can provide a comprehensive overview of plasma polymerization mechanisms.53,107 The combined mechanisms are represented schematically in Figure 12.

considering plasma-phase reactions, the energy invested by a precursor molecule in the active plasma zone defines the fragmentation and activation routes of the monomer in producing film-forming radicals and is proportional to external parameters, namely, the power input per unit gas flow rate, F/ W. Assuming an activation barrier for a given plasma-phase reaction (keeping in mind that multiple and rather complex reaction pathways are possible in the agitated plasma environment), a quasi-Arrhenius dependency of the mass deposition rate, Rm, yields ⎛ E ⎞ Rm = G exp⎜ − a ⎟ ⎝ W /F ⎠ F

where G is a reactor-dependent geometrical factor, which can also be related to the conversion of the monomer into film growth, and Ea is the apparent activation energy for the monomer in use. In the range where this equation yields a linear Arrhenius-like plot for the deposited mass as a function of the inverse energy input, its negative slope represents the apparent activation energy of plasma-phase reactions, namely, the fragmentation of monomer molecules and formation of radical species. Within the linear range of am Arrhenius-type plot, where the deposition mechanism is dominated by radical species, plasma polymerization takes place. The deposited mass can be related, theoretically, to the number of free radicals formed in the active plasma zone.118,123,124 As can be seen in the schematic plot in Figure 13, deviations from a straight line can be observed at extreme energy inputs.

Figure 12. Cumulative plasma polymerization mechanism.53,338 (Reprinted with permission from ref 53. Copyright 2013 University of Mons.)

This representation provides initial clues as to why plasma polymers have such an irregular structure, that is, a high crosslinking degree and heterogeneous environment, that is so different from the structures of conventional polymers. The PPF formation mechanism can be viewed as a simultaneous cooccurrence of manifold random polyrecombination reactions of plasma-activated fragments followed by successive rearrangements and additional fragmentations, reinitiations, and combinations of reactions. Plasma-induced polymerization refers to the direct incorporation of precursor molecules into the growing film without their activation through collisions with electrons in the plasma but through the opening of a double, or triple, bond by a free radical on the interface. Recently, the Hegemann research group successfully applied macroscopic kinetics to describe plasma polymerization in pursuit of an inexpensive regulation method for its potential eventual upscaling.118−121 The macroscopic description of plasma polymerization is based on the concept of chemical quasi-equilibria that can characterize the state of the contents of a plasma polymerization reactor through an electronically modified mass action law.122 The concept of quasi-equilibria fuses the ordinary meaning of the term “equilibrium” with the idiosyncrasies of nonequilibrium cold plasma chemistry. This macroscopic approach allows the key chemical conversions occurring during a plasma polymerization process to be related to easily controllable external parameters (e.g., input power, pressure, monomer flow rate) with the help of macroscopically determined rate coefficients in suitable reaction equations. Plasma polymerization reactions can take place in the plasma phase and on the surface of the growing film front, with both types potentially being capable of playing a decisive role in the deposition process and both types also affecting the structure and properties of the synthesized plasma polymers. When

Figure 13. Schematic Arrhenius-type plot describing plasma polymerization. (Reprinted with permission from ref 118. Copyright 2007 Wiley-VCH.)

For low energies, the deviations are induced by possible oligomerization, that is, the formation of rather short (a few molecular units) polymer chains, whereas for high energies, such phenomena as etching/sputtering and temperature effects can considerably affect the deposition process. At the same time, surface reactions occurring at the front of the growing (through polyrecombination of film-forming species) plasma polymer films are governed by interactions with energetic particles. The energy that is dissipated at the film surface through ion bombardment, called the energy density ε, is defined as

ΓE i mean R where Γi is the ion flux, Emean is the mean ion energy, and R is the deposition rate. Whereas the reaction parameter W/F can ε=

3983

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 14. Combination of (a) DFT calculations and (b) in situ FTIR spectroscopy for the study of the plasma polymerization of benzene. (Reprinted from ref 132. Copyright 2014 American Chemical Society.)

should eventually lead to a better understanding of the benzene-based PPF structure and related surface properties. Additionally, in the same group, Cossement et al.133 recently developed a methodology to evaluate the cross-linking degree of a plasma polymer by means of time-of-flight secondary ion mass spectrometry (ToF-SIMS) analysis followed by partial component analysis (PCA) treatment of the data. Because of the complexity of the plasma polymerization mechanism and the multitude of potential interaction pathways of different types of particles among themselves and with the growing film, it is highly speculative to define exactly how a plasma polymer forms in absolute terms, as well as to predict precisely the structure and properties of the resulting PPF. A number of deposition parameters, addressed briefly in the following discussion, can cause some particular reactions or mechanisms to become predominant, and thus, they might allow the final structure and properties of the PPF to be tuned to a certain degree in a “controlled” way. Obviously, a profound understanding of plasma polymerization mechanisms is yet to be achieved, and this would allow for the fine control of the resulting structure and properties.116 The RF input power into the discharge (continuous or pulsed), the type of precursor gas, the reactor design, the working pressure, the precursor flow rate, the substrate temperature, and the distance between the plasma zone and the substrate are all among the main parameters affecting the final structure and properties of the PPF.76,100,112,115,116,121,130,134−136 However, RF power is the key parameter determining the number and energy of electrons responsible for collisions and bond scissions in the plasma and, consequently, for the production rate of film-forming species.13,14,110,119,127,137 The pure value of power input in watts does not make much sense when considered independently (apart from a specific context) because of the huge range of precursors in use, each involving different elements, chemical structures, and molecular weights. A direct comparison of input-power dependencies could yield misleading interpretations, for example, because of the difference in energy required to open a single or triple bond in compounds of similar molecular weights. The necessity to specify each individual medium to which the power is applied and the pursuit of a clever generalization for a meaningful comparison led in practice to the development of a composite parameter, namely, the Yasuda parameter. Yasuda, while developing a model of plasma polymerization,73,93,109,138

be useful in the pursuit of optimal conditions for a particular plasma-phase reaction pathway favoring the deposition of a corresponding functional plasma polymer, the alteration of the energy density ε could allow the degree of cross-linking to be intentionally adjusted. The above-mentioned models and approaches provide a rather ample description of plasma polymerization. However, they often deal with the reactions in the plasma phase rather indirectly, as reaction pathways are assumed on the basis of obtained characterization results and established synthesis− structure−property relationships. It can be said that, without a direct means to address what is occurring in the discharge (i.e., the plasma chemistry), the plasma reactor is considered to be a black box with a known input and output and a multitude of possible fragmentation/polyrecombination reaction routes in between. To illuminate the possible scenarios of the fate of monomer molecules during plasma polymerization and their dependence on externally controlled parameters, certain plasma diagnostic techniques can be of particular interest. Optical spectroscopy, mass spectrometry, and in situ FTIR spectroscopy have been reported to provide valuable insights into the mechanisms of monomer fragmentation and polyrecombination.125−130 Recently, theoretical calculations, such as density functional theory (DFT) calculations of Gibbs free energies of different bond breakings, have entered the arsenal of the material scientist’s tools, questioning and supplementing the findings of experimental plasma-phase characterizations. Working together, the groups of Professors Snyders and Lazzaroni (both at the University of Mons, Mons, Belgium) have successfully pioneered a method of applying DFT calculations to the study of plasma polymers.127,128 This approach of combining in situ (discharge) and ex situ (synthesized material) analyses with DFT calculations in research on plasma polymerization allows for a more complete understanding of the entire process to be obtained and the means by which to control synthesis− structure−property relationships to be more easily developed.131 An example of an in situ FTIR discharge analysis performed in parallel with DFT calculations for the investigation of the plasma polymerization of benzene is presented in Figure 14.132 DFT calculations allow for the interpretation and explanation of the origins of the vibrational bands observed by in situ FTIR plasma diagnostics and sheds light on some plausible polymerization mechanisms, which 3984

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

introduced the Yasuda parameter, Y, which takes into account the mass flow rate of the precursor along with the electron energy and represents the energy input per unit mass of gas

referred to as soft deposition conditions, allows the growth and structure of the plasma polymer to be carefully controlled. Such control is crucial, for example, in the synthesis of special polymers, such as conductive polymers that require uniform and pinhole-free film structures for photovoltaic applications.147 Plasma polymers can be generated from a great variety of organic and inorganic precursors. As already mentioned, the sole limitation here is imposed by the ability of the precursor to be evaporated. At the same time, cyclic, alicyclic, and aromatic precursors are characterized by higher polymerizabilities, and hence deposition rated, than aliphatic precursors because of the possibility of introduce the whole initial structures through plasma-induced grafting.93,148 Among aliphatic precursors the following tendency in polymerization as a function of the degree of saturation has been observed

Y = W /FM where W is the electrical power input in watts, F is the molar or volume flow rate of the precursor, and M is the molecular weight of the precursor. Numerous studies and credible comparisons of the results of individual groups (including the above-mentioned Hegemann, who used a similar parameter) have confirmed the efficiency of this composite parameter in describing plasma polymerization.118,139,140 It should be mentioned that the input power affects not only the number of electron-induced fragmentation-causing collisions in the plasma phase but also the morphology of the growing PPF and, more specifically, its cross-linking degree. With a higher power, a more cross-linked plasma polymer starts to be formed because of the fact that the increased precursor fragmentation breaks up the original species extensively and yields a larger quantity of small-molecule film-forming radicals. At the same time, ion bombardment becomes more intense (the number of ions grows proportionally with the number of electrons) and can also contribute to the densification and cross-linking of the growing film. It has been demonstrated in a number of studies that plasma polymers synthesized at low energies exhibit chemical structures resembling those of conventional polymers.14,75 This similarity is mainly explained by the small amount of electron-induced collisions of precursor molecules, thus leading to fewer fragmentations and favoring more activation, rather than the complete disintegration, of the initial chemical structure. Minimizing the Yasuda parameter (decreasing the energy input) leads to the synthesis of plasma polymers bearing a greater resemblance to conventional polymers, and in this case, a controlled design of the PPF chemical structure might be realizable. However, in this case, the reduced degree of cross-linking could be responsible for a less reinforced (to mechanical or chemical effects) network. Therefore, an increased retention of the precursor chemical groups in a plasma polymer is often counteracted by a higher solubility in humid environments.141−143 Maximizing the Yasuda parameter, on the other hand, would result in the formation of a highly cross-linked network exhibiting few if any similarities to its conventional counterpart.13,14,127,131 The absence of a fixed repeating molecular unit and the heterogeneous environment at any site provide these plasma polymers with an improved thermal stability. When a compromise between the degree of retention of the precursor chemical groups in the deposited films and their solubility is sought, intermediate values of Yasuda parameter can be efficient. Furthermore, the pulsing of the input RF power into plasmaon and plasma-off periods might also allow one to produce plasma polymers that retain a certain degree of the original precursor structure through the temporal limitation on fragmentation.40,144,145 The precursor gas is fragmented predominantly during the plasma-on period, causing the PPF to be formed by the polyrecombination of generated free radicals. Subsequently, during the plasma-off period, free radicals, entrapped in the surface regions, start reacting with precursor vapor in the absence of photon irradiation and ion bombardment and initiate plasma-induced polymerization, resulting in the incorporation of the intact (or almost intact) initial chemical units.146 Pulsed RF mode, also sometimes

alkanes < alkenes < alkynes

The opening of double and triple bonds and the addition of free radicals to the unsaturated bonds offer more polymer formation pathways than simple recombination of two activated alkane fragments. Therefore, a proper choice of the precursor in combination with a clever selection of deposition parameters could lead to the controllable incorporation of required chemical groups, such as −COOH, −OH, −NH2, −SH, and −Br, among others, and to the synthesis of functionalized PPFs with specific properties for application in various domains, including microelectronics,149 membranes and barriers,150−152 chemical sensors,153 and biomedicine.17,154 It should also be mentioned that a gas mixture of two precursors can generate a copolymer-like PPF with a structure resembling that of one or the other monomer depending on their concentration ratio.155−157 Compatibilization problems, often encountered in classical wet copolymer synthesis, are absent in this case. Furthermore, when alternating sequential depositions of two or more precursors in the same vacuum chamber, a multilayer plasma polymer coating can be produced in a single-step process. The wide precursor selection range and the study of each layer individually might allow the structure and properties of the entire multilayer coating to be considerably modified.158,159 The working pressure during the PECVD processes typically ranges from 1 to 1000 Pa and plays an important role in determining the final structure of the PPF. Its increase results in the reduction of the mean free path of electrons and active species in the plasma, stimulating more inelastic collisions. At the same time, the residence time of the precursor in the vacuum chamber rises, increasing, in turn, both the concentration of reactive molecular fragments and the electron density.40,109 An equation linking the residence time of a molecule in the active plasma zone with the working pressure is given by118

τact =

RpVact W

where W is the absorbed energy, τact is the residence time within the active plasma zone, p is the pressure, Vact is the volume of the active plasma zone, and R is a reaction parameter that is proportional to the power input per unit flow rate W/F. High pressures can lead to the growth of rather large fragments in the plasma phase, which, instead of reaching the growing PPF front on the substrate surface, form a powder-like polymer material that precipitate on the reactor walls. 3985

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 15. Modification of the iodine-plasma-activated PP surface. (Reprinted with permission from ref 191. Copyright 2015 Elsevier.)

Another deposition parameter, the precursor flow rate, is responsible for the residence times of different species in the active plasma zone at a given pressure. The higher the precursor flow rate, the shorter the residence time. First, the deposition rate increases markedly as a result of the plasma being supplied with new molecules that are subjected to instantaneous fragmentations. Once all newly introduced precursor molecules are involved in plasma reactions, the deposition rate reaches its maximum value. With further increases in the precursor flow rate, the deposition rate falls because a portion of the newly introduced molecules do not break up in the plasma (because of the short residence time) and leave the vacuum chamber (through pumping) without contributing to the film growth.109 The control of the plasma polymer film thickness can be easily achieved by tuning the deposition parameters.79,80 However, plasma polymers with thicknesses of a few microns are reported to exhibit a tendency toward delamination or cracking associated with the stress induced by a too-large volume of a cross-linked structure.112 Finally, geometrical considerations, such as the plasma-tosubstrate distance, can likewise influence the structure and properties of the PPF.134,136 It has been reported that placing a sample inside or far from the active plasma zone allows plasma polymer films with a controlled structure to be obtained.73,76

However, the treatment conditions allow for only the polymer surface to be modified, rather than the entire synthesized volume of the plasma polymer film. Changing the reactive gas allows for a wide choice of functionalities to be incorporated onto polymer surfaces. For instance, to incorporate hydroxyl groups onto the surfaces of polymer such as polycaprolactone (PCL),165,166 polyethylene (PE), and poly(ethylene terephthalate) (PET),167,168 an O-plasma treatment is commonly used.100,169−172 Hydroxyl surfaces are particularly suitable for cell colonization, but aging phenomena lead to a loss of OH groups with time.169,173,174 Carboxylated groups on polypropylene (PP), polystyrene (PS), and PE have been reported to have been generated by different strategies based on plasma treatment with CO2 or CO gas.175−178 Nevertheless, these treatments lead to the incorporation of a large variety of functionalities including hydroxyls, esters, and ketones that limit the homogeneity of further supports for anchoragedependent cell lines.179−181 Amine groups, which are in great demand for biomedical applications because of their biocompatibility and the possible covalent immobilization of biological molecules such as polysaccharides,182 enzymes,183 and DNA,184,185 can be synthesized in ammonia- and nitrogenbased plasmas.171,186−188 The addition of an inert gas (i.e., Ar) to a nitrogen-based plasma allows for an increase in nitrogen and oxygen functionalities as a result of enhanced radical formation (inert gas creating more reactive sites where functional groups can be anchored).189 It is worth mentioning that such plasma-functionalized surfaces represent promising platforms for the subsequent surface-initiated polymerization or immobilization of bioactive compounds. For example, Oehr et al. used plasma activation to generate primary amines on the polymer surface. Subsequently, biotin was immobilized by these amine groups, resulting in the formation of a complete monomolecular layer of biotin on the polymer surface.190 Similarly, Couturaud et al. employed plasma treatment to generate an iodine-functionalized polypropylene surface. This surface served as an apt platform for secondary reactions and was used for further conversion of iodine groups into azide groups, culminating in a reversible addition−fragmentation chain-transfer (RAFT) polymerization. This stepwise approach allowed for the covalent attachement of poly(methacrylic acid) brushes onto the initially inert polypropylene polymer (Figure 15).191 Several other examples of polymerization initiated from specific functional groups, incorporated through reactive plasma treatment of polymers, have been reported. The reader is advised to refer to the corresponding reports because the current review is focused mainly on the free-radical-driven chemical interactions leading to eventual grafting or polymerization.17,18,192−194

4. PLASMA TREATMENT: A MEANS FOR GRAFTING MOLECULES ONTO POLYMERS In many applications and, more specifically, in the medical field, materials with tailor-made surfaces presenting homogeneously distributed functionalities with a controlled density over the total area are in constant demand. Conventional functionalization methods based on wet chemistry are rather difficult to implement on an industrial scale mainly due to the use of toxic elements that are being progressively phased out on account of their hazardous nature. Therefore, plasma techniques, especially cold ones, are attracting growing attention for surface treatments of inert polymeric materials such as polyethylene, polystyrene, and polytetrafluoroethylene. The numerous approaches that have been developed for the plasma-induced activation of conventionally synthesized polymer surfaces, without affecting bulk properties, can be formally divided into two groups depending on the nature of the process gas, reactive or inert. For the first group, discharges of reactive gases (O2, NH3, CO2, etc.) are employed to introduce desired functionalities directly during plasma treatment.160−164 The mechanisms of the plasma treatment of polymer surfaces closely resemble those of plasma polymer synthesis, namely, fragmentation of precursor gas into reactive species and their covalent attachment onto the polymer surface. 3986

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 16. HRTEM images of MWNTs (left) before and (right) after plasma treatment. (Reprinted with permission from ref 213. Copyright 2008 Elsevier.)

Interestingly, fibers, particles, and powders have also been exposed to plasma treatment to graft special functionalities.18,195−204 For example, surface modifications of carbon nanotubes (CNTs) with cold plasmas have led to the incorporation of oxygen,205,206 hydrogen,207 and fluorine groups.208−210 In addition to the aforementioned advantages of plasma treatments, the density of functional groups can also be tailored. This is particularly important for CNTs because saturation coverage with surface functional groups can alter the electronic conductivity of the CNTs. For example, it was found that the resistivity of CNT increases with the degree of fluorination.211 Moreover, the complete purification of CNT powder can be attained by the elimination of amorphous carbon domains and impurities by ion bombardment and irradiation in an O2 RF plasma.212 However, it was also found that the average diameter of multiwalled carbon nanotubes (MWNTs) decreases with treatment time, suggesting that some physical properties might be changed during treatment. Ion bombardment and irradiation deform the graphite layers and damage the nanotubes (Figure 16).213 A compromise between good functionalization and minimal modification of nanotubes should be possible through the careful control of all parameters influencing plasma treatment. For the second type of plasma-induced activation of conventionally synthesized polymer surfaces, inert gas plasmas or simple plasma UV irradiation are used to generate free radicals with the goal subsequently using them in a grafting procedure. An inert gas is used to etch, cross-link, and/or activate a polymer substrate. For instance, plasmas of inert gases can change the polymer surface morphology (through controlled nanostructuring)214 and modify the chemical properties of the surface region.215 These modifications are of prime importance if one aims to modify the wettability of a polymer, for example.216−218 If no special precaution is taken to protect free radicals, they are responsible for the incorporation of various oxygencontaining groups onto the polymer surface upon exposure to aerobic conditions. However, surface free radicals can still be used, if maintained properly (i.e., kept out of oxygen), in further functionalization steps (addressed in a subsequent section).219−224 These free radicals are generated on the polymer surface through its interaction with the plasma particles, electrons, and ions and through the absorption of vacuum ultraviolet (VUV; 200 nm > λ > 100 nm) radiation, capable of the excitation and cleavage of homolytic C−C, C−

H, and C−O bonds. The principles behind the plasma treatment of a polymer surface with an inert gas are very similar to those behind plasma polymer synthesis when considering the very top surface, a free-radical-rich region. Indeed, whereas the cross-linked bulk matrix of a plasma polymer is formed by the polyrecombination of reactive species from plasma, its growing film front is exposed to plasma particles and radiationexactly the same as a conventional polymer surface treated in a discharge. However, the nature of the dominant species in the plasma is different for reactive hydrocarbon polymer-forming species compared to inert gas ions. Plasma−surface interactions during plasma treatment of a polymer allow for surface activation or functionalization (in situ or at later stages) to be achieved. Treatment of a polymer surface with an inert gas plasma is finding increasing application in biomedicine,8,225−227 for example, in the generation of chemically reactive supports for biomolecule immobilization or cell colonization.17 It should be noted that, independent of the working gas (reactive or inert) employed in a plasma treatment of a conventional polymer, the phenomenon of reptation, namely, the migration of a functional group from the surface toward the polymer bulk, is observed. Such reptation leaves the treated polymer surface free of incorporated functional groups, resulting in the loss of the beneficial effects of plasma treatment.135,174,228,229 Therefore, other methodologies, such as the grafting of polymer chains that are less susceptible to reptation phenomena because of their greater chain lengths, present a great deal of practical interest. It should be noted that free radicals on the surfaces of both plasma-treated and plasma-synthesized polymers inherently offer a good opportunity to be exploited for the controlled grafting of a specific molecule or polymer chain. Therefore, preliminary knowledge of the surface free-radical density might help to achieve higher grafting efficiencies.

5. SURFACE REACTIVITY AND FREE-RADICAL QUANTIFICATION This section focuses on a common characteristic feature of both plasma-synthesized and plasma-treated polymers: high surface reactivity. This reactivity is attributed to the presence of free radicals on the interface between the PPF and the vacuum generated by the film growth mechanism through radical reactions and by the interaction of the growing film front with plasma particles and the absorption of VUV radiation in surface/subsurface regions. Therefore, the issue of surface free 3987

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

functionalization of the surface. Because of the nonlinear character of autoxidation, each carbon-centered radical available for a reaction with O2 might accumulate, along with the initial oxygen atom, a few additional oxygen atoms in time. This process of uncontrollable and, in most cases, undesirable modification of the surface chemistry and, consequently, of the surface properties is commonly referred to as the aging of the PPF.222,234−239 However, once the plasma polymer synthesis or plasma treatment under a vacuum is completed, the surface free radicals can survive rather long under anaerobic conditions while retaining a highly reactive surface.240 This reactivity could be advantageously exploited (directly or indirectly) for the controlled grafting of a specific chemical group or molecule.241 To preserve as many free radicals as possible from postoxidation, the functionalization step should take place under a vacuum, without air exposure, except when only the initial stages of autoxidation, namely, formation of peroxy radicals or hydroperoxides, are employed in a controlled way.242,243 thus, although oxidation is sometimes considered as a disadvantage, leading to aging, the reactivity of the plasmatreated polymer and PPF surfaces can turn out to be advantageous when exploited carefully. Free radicals, under certain circumstances, can serve as a robust design tool for surface technology. The evaluation of the density of surface free radicals is an important and mandatory prerequisite for guaranteeing efficient grafting on a plasma-treated or -synthesized polymer surface and for understanding grafting mechanisms. Indeed, a low density of surface free radicals can lead to an insufficient coverage of the surface by the covalently bound molecules, whereas a density that is too high can result in numerous recombination/termination reactions between closely located free radicals and a loss of reactivity.17,244 Therefore, free-radical quantification is of great importance because these reactive species play a predominant role in a large variety of chemical and biological processes. A number of studies have already focused on the identification and quantification of free-radical species on the surfaces of PPFs or plasma-treated polymers using different physical and chemical techniques.2,245−250

radicals is addressed for both plasma-synthesized and plasmatreated polymer surfaces taking into account the much greater amount of information available for the latter. In both cases, the density of surface free radicals is principally controlled by the energy input into the plasma phase, related to the aforementioned deposition parameters such as power input and RF signal pulsing. Together with the chemical structure of the precursor or of the polymer to be treated, the energy input defines the amount of free radicals retained in the bulk and on the surface of a plasma polymer after synthesis or the amount of free radicals generated on the surface of a conventional polymer during plasma treatment, respectively. Free radicals have one or more unpaired electrons in their structure and exhibit a huge potential to react once removed from a deposition chamber and exposed to an ambient or specific environment.148,230−232 Upon contact with air, free radicals undergo manifold reactions with omnipresent oxygen according to the well-known cycle of autoxidation,222,233,234 which leads, over a longer time scale, to the incorporation of various oxygen-containing groups (Figure 17).

Figure 17. Reaction scheme of the autoxidation process.

The autoxidation process is assumed to begin with the prompt formation of peroxy radicals through the reactions between carbon-centered radicals and O2. It is followed either by the recombination of two peroxy radicals to form an excited carbonyl or by H abstraction to form hydroperoxides. In turn, unstable hydroperoxides generate, over longer time scales, new reactive radicals that are capable of introducing various oxygen functionalities onto the PPF surface, resulting in the random

Figure 18. Schematic representations of the PECVD reactor and ESR measurement procedure used by Kuzuya and co-workers.240 (Reprinted with permission from ref 240. Copyright 2010 Elsevier.) 3988

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 19. (a) Nitric oxide derivatization principle. (b) Fitted N 1s XPS peak for a NO-derivatized PPF. (c) Reaction scheme of different carboncentered radicals with nitric oxide. (Reprinted from ref 222. Copyright 2013 American Chemical Society.)

co-workers recorded and interpreted ESR spectra of polyethylene, polystyrene, polytetrafluoroethylene, and other powders after Ar plasma treatment,245,255,260,261 as well as of plasma polymers synthesized from a few simple organic precursors.240 Schematic representations of the PECVD reactor and ESR measurement procedure are shown in Figure 18. After the synthesis of the plasma polymer, the deposition ampule was sealed and turned upside down. Then, ESR measurements of the polymer films formed on the glass capillary were performed. For plasma irradiation of polymer powders, the ampule was first filled with Ar and then sealed, irradiated, turned upside down, and similarly measured by ESR. In the studied power range, only one type of carbon-centered radical, associated with an immobilized dangling bond in a highly cross-linked network, was determined for plasma polymers.240 The ESR spectral intensity of this radical changed with time during exposure to aerobic conditions and during heating under anaerobic conditions differently depending on the precursor. The eventual leveling of the ESR signal suggests that some free radicals remain trapped in the bulk of the film as opposed to those readily reactive on the surface. Information obtained from ESR spectral analysis might provide clues to the

Physical methods of radical identification detect transitions of unpaired electrons, whereas chemical methods are based on radical reactions with detectable reagents. Unfortunately, the more reactive the radical, the shorter its lifetime, and the more difficult the determination of its initial state because of the many possible accidental reactions. The most commonly reported direct radical measurement technique is paramagnetic electron spin resonance (ESR) or electron paramagnetic resonance (EPR) spectroscopy.251−254 Free radicals can be measured directly, as extensively reported by Kuzuya and coworkers,240,245,255,256 or with the help of special compounds (spin traps) that react with free radicals and form spin adducts that are easily detectable by ESR spectroscopy.257,258 The detection principle relies on the magnetic dipole transitions of electron spins in an external magnetic field.251 This is a volumesensitive technique that offers the advantage of high sensitivity [spin (i.e., radical) densities of ∼1012 spin/cm3] but requires a large surface-to-volume ratio, making the surface analysis of a single thin film rather difficult in comparison to that of plasmatreated polymer powders, fibers, or film stacks.233,259 The gfactor (angular momentum) of the paramagnetic spin spectrum allows various types of radicals to be distinguished. Kuzuya and 3989

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

with subsequent XPS analysis. Directly after the deposition (without exposure to the air), the plasma polymer film was exposed to NO gas at a pressure of 100 mbar. It was found that the amount of surface free radicals increases with the deposition power up to 200 W (∼2.3 × 1014 spin cm−2), after which radical recombination presumably takes place. The growth in the fraction of tertiary radicals with power was attributed to the higher fragmentation of the monomer in the discharge, as revealed by in situ FTIR plasma diagnostics, and to a stronger bombardment of the growing film by ions, electrons, and VUV photons (Figure 20).

plasma polymer microstructure. A detailed ESR study of lowand high-density plasma-treated polyethylene255 allowed different types of radicals (midchain alkyl, allylic, and structureless radical) to be distinguished and their densities to be evaluated. Bilek and co-workers used ESR measurements to investigate radicals in polyethylene262 and polystyrene263 treated by plasma immersion ion implantation, a combination of inductively coupled plasma and pulsed substrate bias. A dependence of the ESR signal on the treatment time and a decrease of the signal with storage time were reported. Additionally, a welldeliberated theoretical model for free-radical kinetics in ionbeam-treated polymers has been proposed.264−267 The most common indirect methods for free-radical quantification follow the same principles as conventional labeling techniques for the chemical analysis of polymer surfaces.268 They are based on reaction with a derivatizing agent, a marker that labels radicals with a spectrochemically detectable atom or molecule, and subsequent analysis using an analytical technique, such as X-ray photoelectron spectroscopy.233,269 These chemical-labeling methods for surface freeradical detection can be carried out with either gaseous or liquid derivatizing agents. Gaseous markers have the advantage that they can be directly introduced into the vacuum chamber as soon as the plasma treatment or synthesis process is over. For liquid agents, however, practical complications of anaerobic sample transfer and complete oxygen degassing of the reagent solution are present. Nitric oxide (NO) and iodide should be mentioned among commonly used gaseous derivatizing agents. NO is a highly reactive radical gas that rapidly recombines with even weakly reactive carbon-centered radicals on a plasma polymer or plasma-treated surface (schematically presented in Figure 19a). Considering that each free radical can incorporate only one nitrogen atom and that the depth of X-ray photoelectron spectroscopy (XPS) analysis is known, the nitrogen concentration determined by XPS allows the quantification of free-radical density to be achieved. Moreover, various types of carbon-centered radicals can be distinguished through corresponding shifts in the binding energies of derivatization products in N 1s XPS spectra (Figure 19b). Possible reaction pathways of NO with carbon-centered radicals are shown in Figure 19c. Primary and secondary radicals react with NO to form oximes that can be distinguished from the nitrogen compounds of higher oxidation states (nitroso, nitro, and nitrate groups) formed by tertiary radicals. However, low oxygen partial pressures during derivatization and, preferably, an oxygen-free precursor for plasma polymer synthesis are required to avoid side reactions of NO molecules with oxygen-containing chemical groups that might mask the initial free-radical density.233 Wilken et al.244,247 investigated the surface freeradical concentration and types of radicals generated by plasma irradiation of PE, PP, and PS polymers. Their works demonstrated that a NO radical trapping method could be an indispensable tool for the study of free radicals on the polymer surface after plasma or only VUV treatment. However, Finke et al. found that the detection of surface carbon-centered radicals on NH3-plasma-treated PS is complicated by the side reactions of the generated amino groups with NO molecules.249 Recently, Ershov et al. successfully used NO derivatization to quantify the amount of free radicals generated during the deposition of an isopropanol-based PPF.222 Free-radical chemistry on the surface of the isopropanol-based PPF was studied with the help of NO chemical labeling in combination

Figure 20. Surface density of free radicals and intensity of a C−H stretching band as functions of deposition power. (Reprinted from ref 222. Copyright 2013 American Chemical Society.)

Angle-resolved XPS measurements showed that primary and secondary radicals are dominant at the top surface, whereas there are more tertiary radicals in the subsurface region hidden from the interaction with plasma particles. In another work, the same authors studied the influence of the precursor C−C bond type, aromatic versus aliphatic, on the generation and concentration of free radicals on the surface of the PPF.132 First, in situ FTIR analysis of the plasma phase supplemented by DFT calculations allowed the main fragmentation routes of precursor molecules in the discharge to be identified as dependent on the energy input. Then, NO chemical labeling in combination with XPS analysis provided a quantitative evaluation of the surface free-radical concentration as a function of input power for both precursors. The different evolutions of the surface free-radical density between the benzene- and cyclohexane-based PPFs, namely, a continuous increase and stabilization to a plateau, was attributed to different polymer formation mechanisms and their respective structures, as illustrated by PPF characterization findings. It was demonstrated that control of the free-radical density under oxygen-free conditions can be achieved through the stabilization of free radicals in proximity to incorporated resonance rings. At the same time, aging tests confirmed that the reactivity of the surface was exhausted upon exposure to air, causing undesirable plasma polymer aging. Similarly to the NO derivatization method, iodine gas reacts with free radicals on plasma-treated surfaces or plasma polymers in a vacuum. However, the I2 molecule is more selective toward carbon radicals than the highly reactive NO molecule and will undergo reactions only if the energy gained through C−I bond formation is larger than the dissociation energy of the I−I bond. For these reasons, even though iodine exhibits a higher sensitivity in the XPS analysis than nitrogen 3990

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

polymerization of styrene. A schematic representation of the experimental procedure is shown in Figure 21. This work

from NO reactions, labeling with iodine gas yields a lower surface free-radical concentration as compared to the NO method and appears to be problematic.233 Direct determination of oxidation products or the reaction of the oxidized surface with derivatizing agents, such as NO or SF4, can additionally provide information about the surface free radicals in irradiated polymers.270,271 Wet chemical derivatization methods are based on reacting a liquid labeling agent with the free radicals and then measuring the changes in solution composition with an appropriate analytical technique, for example, optical absorption spectroscopy2 or colorimetric chemical titration.272 The free-radical concentration can be derived from the change in chemical composition of the labeling solution caused by the consumption or generation of specific species during derivatization. Wet chemical methods of radical detection confront challenges of oxygen-free transfer [for 2,2-diphenyl-1picrylhydrazyl (DPPH)], the necessity of derivatizing postoxidation products (for iodine), and an unknown analysis depth and side reactions of labeling agents with various functional groups also present at the surface (for both).233,248 Teng and Yasuda248 encountered numerous problems when attempting to address quantitatively the surface free-radical density of plasma-synthesized and plasma-treated polymers with DPPH and iodine agents, concluding that these methods might instead be used qualitatively to assess the overall surface reactivity. Among the other methods potentially available for freeradical detection, two thermally activated methods, namely, thermoluminescence after radiation-induced excitation and chemiluminescence in the course of aging, should also be mentioned.233,273,274 The choice of an appropriate derivatization method for evaluation of the surface free-radical density is a highly challenging task. It requires taking into account many aspects such as the chemical composition of the PPF, sample transfer possibilities, and the availability of analytical techniques. However, the absolute quantification of the free-radical density in all labeling techniques is not straightforward because of the absence of calibration methods and the numerous assumptions (density of the film in the surface regions, reaction volume, etc.) that need to be made during the data analysis.106,233,268

6. PLASMA-INITIATED POLYMERIZATION 6.1. Grafting onto Plasma-Synthesized Polymers Figure 21. Schematic representation of the controlled free-radical graft polymerization of styrene on a maleic anhydride-based PPF. (Adapted from ref 275. Copyright 2005 American Chemical Society.)

Numerous approaches have been developed for the surface modification of conventional polymers by plasma activation, and a few selected methods will be presented later. It is surprising that, for plasma-synthesized polymer films only a small number of studies have been reported concerning the use of free radicals to initiate a subsequent grafting reaction. Teare et al.275 reported a controlled polymerization initiated from free radicals present on the surface of an anhydride maleic-based plasma polymer synthesized in pulsed mode, rather than continuous mode, for better retention of the maleic anhydride group. An increased retention of the initial functionality could be achieved in pulsed mode because the maleic anhydride molecules were incorporated intact into the growing polymer during the plasma-off period in the absence of plasma particles and VUV radiation. After the deposition of the PPF, the polymer was first exposed to the vapors of amine-based molecules (propylamine or allylamine) and then subjected to imidization and a nitroxide-mediated controlled radical graft

clearly demonstrated that the potential to employ the reactivity of the surface free radicals in the anhydride maleic-based plasma polymer was closely related to their extended lifetime ensured by the resonance-induced stability. Consequently, the application of this grafting procedure is limited to specific precursors. More recently, Muir and Tarasova showed that a vinyl monomer, poly(ethylene glycol) methacrylate (PEGMA), could be photopolymerized from the surface of a methanolbased PPF without the use of a photoinitiator. They proposed that the grafting occurred both from the radicals generated during PPF synthesis and the radical sites generated through the use of postdeposition UV irradiation. XPS (Figure 22) and atomic force microscopy (AFM) force curve analysis were used to characterize the grafted PEG polymer chains, and it was 3991

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 24. Schematic drawing of the experimental setup comprising the introduction chamber, pretreatment chamber, PVD chamber, and grafting chamber. (Reprinted with permission from ref 81. Copyright 2015 Royal Society of Chemistry.)

Figure 22. C 1s spectra of a methanol-based PPF and a PPF on which PEGMA polymer film was grafted. (Reprinted with permission from ref 276. Copyright 2012 Wiley-VCH.)

shown that a robust protein-repellant graft polymer surface could be produced using this approach.276 In our group, an original and novel approach was developed to protect PPFs from subsequent aging while at the same time functionalizing the film surface. The general strategy is based on the deposition of a PPF rich in free radicals and the subsequent initiation of a free-radical-induced polymerization of an acrylic polymer introduced onto the PPF surface without exposure to the air (Figure 23).223

The grafting of polymer chains was first confirmed by timeof-flight secondary ion mass spectrometry (ToF-SIMS) and Xray photoelectron spectroscopy (XPS). Then, the grafted layer was studied in more detail. The thickness of grafted chains was quantitatively estimated by angle-resolved XPS, and their morphology and interfacial behavior were qualitatively investigated by atomic force microscopy (AFM) and contact angle and quartz crystal microbalance (QCM) measurements. Despite the low thickness of the grafted chains (∼3.5 nm), QCM analyses revealed that poly(EHA) grafted chains modified the behavior of the PPF, which, in the as-deposited state, could be damaged upon exposure to corrosive environments. Reported scientific findings suggest the use of this approach to functionalize the surface of the PPF in a controlled manner and, at the same time, to protect the PPF against the reoccurring problem of oxidation and thus extend its useful lifetime. It should be mentioned that an acrylic monomer, namely, EHA, was chosen because of its polymerizability through radical transfer and the wide use of its corresponding polymer in coating and adhesive applications. In addition, the PPF was deposited from a simple organic precursor, namely, isopropanol. However, a huge diversity of precursors and monomers can be used. The very few limitations are related to the volatility of the precursor and the ability of the monomer to polymerize through a radical-transfer mechanism. The large variety of functionalities that can be incorporated onto PPF surfaces that can, in turn, be deposited on a wide range of solid substrates leads one to envision numerous possible applications. For example, Khelifa et al. deposited a hexamethyldisiloxane- (HMDSO-) based PPF and, by grafting poly(EHA) following the aforementioned process, enhanced the corrosion properties of an aluminum substrate.81,277 Therefore, the proposed approach contributes toward the development of new smart nanocoatings through the fine-tuning of the PPF and/or grafted layer composition. Accurate compositional control might result in the enhancement of mechanical and corrosion protection properties, as well as the incorporation of functional properties such as self-healing and pH or temperature responsiveness. In another study, Chen-Yang et al. used the microwaveinitiated plasma polymerization of ethylene to deposit a polyethylene- (PE-) like thin layer on the surface of polytetrafluoroethylene (PTFE) films.278 To evaluate the activity of the PE-like surface, acrylic acid (AAc) graft

Figure 23. Strategy for grafting of an acrylic monomer through free radicals generated during PPF deposition. (Reprinted from ref 223. Copyright 2013 American Chemical Society.)

A special set of chambers, including a PECVD chamber, a PVD chamber, and an interconnected polymerization chamber, all kept under a vacuum, was developed within the framework of this study. After synthesis of the PPF, carried out in the PECVD or PVD chamber with the help of an inductive coil or a magnetron powered by an RF generator, the sample was transferred to the polymerization chamber for free-radical polymerization. A 2-ethylhexyl acrylate (EHA) solution, having been preliminarily passed through a basic alumina column to remove the stabilizing agent and degassed with Ar to eliminate residual oxygen, was introduced into the chamber on top of the PPF (Figure 24).81 The pressure in the chamber was increased with Ar to slightly below atmospheric pressure prior to the introduction of the monomer to avoid the violent spraying of EHA upon contact with the base vacuum of the chamber and to ensure complete coverage of the PPF sample by the monomer. The chamber was preheated to 50 °C using an external heater to guarantee an efficient polymerization reaction. After polymerization, samples were washed twice with chloroform for 5 min each time so that any physisorbed layers were removed before subsequent analyses. The effects of experimental parameters, such as the grafting time and the deposition power, on the grafting efficiency were investigated. 3992

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 25. SEM micrographs of PTFE sheets: (a) untreated, (b) C2H4-plasma-pretreated, (c) poly(AAc)-grafted (12 h). (Reprinted with permission from ref 278. Copyright 2004 Elsevier.)

polymerization was carried out on the pretreated film. It was found that the PE-like surface of the plasma-treated specimen could be further grafted with AAc, implying that the deposited surface contained active sites. The AAc graft polymerization was carried out by a thermally induced process in an AAc solution. After grafting, the advancing (θa) and receding (θr) contact angles decreased by approximately 40° and 50°, respectively, indicating an improvement in the surface hydrophilicity for the modified PTFE specimens. SEM micrographs and AFM images showed that the PE-like material was deposited on the surface as a very thin layer, but the grafted poly(AAc) formed a thicker, globular morphology (Figure 25). A particular case of grafting on plasma-synthesized polymers was reported by Teare et al. The halogen-containing functionalities incorporated during plasma polymer synthesis served as an initiator for subsequent controlled polymerization through the atom-transfer radical polymerization (ATRP) mechanism. Two types of surface-initiated ATRP were investigated: Poly(methyl methacrylate) was grafted onto pulsed-plasma-polymerized 4-vinylbenzyl chloride surfaces in organic media at 90 °C using a Cu(bpy)2Br (bpy = 2,2′bipyridine) complex, and poly(N-isopropylacrylamide) was grafted onto pulsed-plasma-polymerized 2-bromoethyl acrylate surfaces in aqueous media at ambient temperature using a Cu(PMDETA)Br (PMDETA = N,N,N′,N″,N″-pentamethyldiethylenetriamine) complex (Figure 26).279

properties in demand. During the past few decades, functionalization of polymer surfaces has been extensively studied, and several techniques have proven their efficacy. Methods for incorporating chemical groups with specific reagents, such as pentafluorobenzaldehyde and fluorescein isothiocyanate for amine incorporation,280−282 hydrazine for carbonyls,280,283 trifluoroethanol for carboxyls,284 and trifluoroacetic anhydride for hydroxyl groups, have been reported.280,284,285 These chemical groups subsequently serve as anchoring sites for grafting presynthesized polymers. For example, Kishida et al. directly immobilized poly(ethylene glycol) (PEG) chains onto a cellulose surface through esterification.286 Prior to the coupling reaction, the terminal hydroxyl group of the PEG molecules was derivatized into a carboxylic acid with the help of succinic anhydride. The PEG molecules with terminal carboxylic acid groups were then chemically immobilized onto the hydroxyl groups on the cellulose surface using carbodiimide in nonaqueous media such as toluene. Nevertheless, this “grafting-to” approach is limited because of the steric crowding induced by polymer grafting.287−291 To overcome this drawback, a “grafting-from” technique is preferred. In this case, initiation sites are already present on the surface to be grafted, thus allowing for the subsequent polymerization to be initiated directly from these sites. Depending on the nature of the initiator, cationic-,292−298 anionic-,297,299−303 or radical-304−309 based polymerization can be performed. It is worth noting that, although there are many ways to endow polymer surfaces with specific properties, industrial applications of polymer functionalization are quite restricted because of the still elevated costs and the relatively recent interest in the top-surface properties. Through cost reduction, grafting might become an essential means of tuning the intrinsic properties of plastics. The generation of initiation sites for polymerization can be achieved with the help of various physical and chemical methods. A large number of techniques based on physical and chemical processes have been described in the literature.223,310−317 Physical processes take advantage of surface segregation, electromagnetic radiation, and oxidation, whereas chemical modifications use wet treatment, blending, coating, and metallization. Chemical species, UV or γ irradiation, electron and ion beams, ozone, and plasma are the main tools used to activate polymer surfaces. Independent of the treatment technique, the initiation of polymerization is subsequently performed on activated sites. In contrast to wet chemical modifications, dry processes, including UV and γ irradiation, ion- and electron-beam bombardment, and ozone or plasma processes, hold the advantage of employing a small quantity of chemicals and

Figure 26. Surface-initiated ATRP from pulsed plasma deposition of halogen-containing initiator layers. (Reprinted from ref 279. Copyright 2005 American Chemical Society.)

6.2. Grafting onto Plasma-Treated Polymers

Polymer materials are extensively used because of their ease of synthesis, light weights, and competitive prices. Industrial polymers such as polyethylene, polypropylene, and polytetrafluoroethylene are usually chemically inert, which is very advantageous but at the same time causes certain complications for their surface functionalization. The possibility of tuning the properties of a polymer surface through functionalization for biomedical, frictional, protective, and adhesive purposes is, therefore, of prime importance. Hydrophilicity, hardness, adhesion, antifouling, antifogging, lubrication, biocompatibility, and conductivity, among others, are considered to be the main 3993

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Figure 27. Typical scheme of the hydrogen-plasma-pretreated PTFE film surface. (Reprinted with permission from ref 335. Copyright 2005 Elsevier.)

sample is put into contact with vapors of a monomer immediately after treatment in the plasma chamber, whereas in the indirect method, the plasma-activated sample is removed from the chamber and only then immersed into a monomer solution. Oxidation of the sample upon exposure to air inevitably leads to the exhaustion of surface free-radical reactivity through the formation of peroxides that can form, on a longer time scale, a variety of oxygen-containing functionalities.222,234 Castelvetro et al.332 studied the grafting efficiency of these two methods. Surface-initiated grafting reactions with various functional methacrylate monomers was performed on an Ar-activated cotton surface. The authors used two different approaches. In the one-step process, fabric that had been preimpregnated with the monomer was treated with plasma inside the chamber for a single-pass grafting reaction. In the two-step process, the fabric was first treated to activate the surface for the reaction with the monomer; after that, the monomer was introduced into the chamber as a vapor (in situ), or the fabric was soaked in a monomer solution outside the plasma chamber (ex situ). With both approaches, grafting reactions were successfully carried out. This work showed the possibility of efficiently grafting various functional acrylic monomers onto preactivated cotton surfaces with Ar plasma. A few more works concerning the grafting of chemical functionalities onto plasma-activated surfaces should be mentioned. Acrylic acid was grafted onto Ar-pretreated PTFE and PCL substrates by Kang et al.220 and Cheng and Teoh,219 respectively. Sun et al. studied the influence of AAc concentration and grafting temperature on the hydrophilicity of the PTFE film surface.221 Hsiue et al.333 pretreated a silicone rubber with Ar plasma and then subjected it to grafting with poly(2-hydroxyethyl methacrylate) [poly(HEMA)] to enhance the cell adhesion. Similarly, acrylic acid or acrylamide groups were grafted onto Ar-treated poly(ether sulfone) membranes immediately after plasma treatment by the direct introduction of monomer vapors into the vacuum chamber.241,334

offer the possibility of modifying surfaces locally. The major drawback of the plasma activation technique is the requirement of high-vacuum conditions, which are expensive and difficult to scale up for continuous operation on large objects. The problem of reproducibility between one chamber and another is also often encountered for various reasons: conditioning of the chamber before treatment, chamber design and dimensions, and sample location relative to the plasma zone. However, despite these technical drawbacks, plasma processes for surface modification and deposition of functional groups seem to offer promising potential for application in biomedicine and microelectronics.10,12,31,57,171,265 This section of the review focuses only on plasma-treated polymer surfaces. As mentioned in section 4, various approaches have been developed for the plasma-induced surface functionalization of conventional polymers. These functionalizations can be formally divided into two large categories depending on the process gas. In the first category, discharges of reactive gases (such as O2, NH3, and CO2) are employed to introduce desired functionalities directly during treatment, as an intermediate or terminal step, and this subject is not covered in this section. In the second category, an inert gas plasma or simply plasmagenerated UV radiation is used to create free radicals on the polymer surface for the subsequent modification step.170,219−221,318−326 These radicals, arising from plasma−surface interactions, can be advantageously exploited for the controlled grafting of a specific chemical group or molecule.9,327−331 Preliminary knowledge of the surface free-radical density, as discussed in the preceding section, can serve as a guide to achieving higher grafting efficiencies. To preserve the maximum number of surface free radicals from postoxidation reactions, the functionalization step, similarly to radical labeling, should preferably take place under a vacuum without air exposure. There are two methods for grafting onto surface free radicals: direct and indirect. In the direct method, the plasma-activated 3994

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

aim is free-radical-induced grafting from plasma polymer surfaces, various challenges and associated limitations can arise at different stages of the overall process, consisting of a plasma polymer synthesis or treatment, free-radical quantification, and the actual grafting on those radicals. Although plasma polymer synthesis holds the advantage of using any vaporizable precursor for the generation of a material with a set of remarkable properties, it requires, similarly to the plasma treatment of a conventional precursor, the use of high-vacuum conditions. Another limitation is related to the inevitable reaction of surface free radicals with oxygen upon contact with air. An oxygen-free transfer from the synthesis/treatment chamber to the grafting chamber would be the best way to circumvent this constraint. Otherwise, only careful control of the initial stages of oxidation might still result in grafting onto the formed oxygen-containing functionalities instead of the consumed surface free radicals. The amount of surface free radicals should also be cleverly managed to avoid extreme cases of incomplete coverage and numerous recombination/termination reactions. Quantification of the surface free-radical density, as an important prerequisite for successful subsequent grafting, could be carried out by a number of detection methods, the advantages and disadvantages of which are presented in detail in section 5. The choice of the most appropriate and applicable method will be defined, however, by the researcher in each particular case, often involving certain assumptions or generalizations. After one has achieved grafting on the plasma-treated polymers, reptation phenomena should not be neglected, as they could counteract the beneficial effects of plasma treatment. As can be seen, the possibilities and limitations of each integral component of what can be collectively called “free-radical-induced grafting from plasma polymer surfaces” will contribute and, in the end, define the success rate of the entire venture.

In some cases, a plasma technique has been used in combination with other activation methods to increase the yield of the grafting reaction. For example, it was reported that a hydrogen plasma was used to generate C−H groups on a PTFE surface.335 The modified surface was then subjected to ozone treatment to convert the hydrocarbon bonds into alkylperoxide and hydroperoxide groups. Finally, surface-initiated radical polymerization of various monomers was performed to incorporate linear macromolecular structures on PTFE film surfaces. Polymerizations from monomers including acrylamide (AAm), acrylic acid (AAc), glycidyl methacrylate (GMA), and 2-(2-bromoisobutyryloxy)ethyl acrylate (BIEA) were performed. Atom-transfer radical polymerization (ATRP) was also used by Tu et al. to generate arborescent structures.335 As shown in Figure 27, the authors first grafted linear structures of PBEIA on a PTFE substrate. Then, the sample was immersed in dimethyl sulfoxide (DMSO) solution. After careful degassing, sodium 4-styrenesulfonate (NaSS), 2,2′-bipyridine, CuCl2, and CuCl were added sequentially to the solution to graft PNaSS on PBIEA. Finally, immersion into a HCl solution allowed for the conversion of the sodium sulfonate groups into hydrogen sulfonate groups for the generation of an arborescent structure of PBIEA-ar-PSSA [poly(styrenesulfonic acid)] on the surface of PTFE. Peroval et al. combined plasma and electron-beam activation to graft an acrylic-based polymer onto an arabinoxylan-based films.336 Radicals generated by C−H bond scissions caused by the electron beam initiated free-radical polymerization in contact with vinylic or acrylic monomers. The authors first activated an arabinoxylan film surface with the help of oxygen plasma to generate peroxides for better compatibility and wetting with the stearyl acrylate monomer during the immersion step. Then, the immersed sample was irradiated with an electron beam to generate radicals that initiated the further functional monomer polymerization. A smart way to modify PTFE surfaces to make them more conductive was developed by Zhang et al.337 Chemical modification of an Ar-pretreated poly(tetrafluoroethylene) (PTFE) film by UV-induced graft copolymerization with glycidyl methacrylate (GMA) was followed by oxidative graft copolymerization of aniline and reactive immobilization of polyaniline (PANI) chains. Ar-pretreated PTFE films were exposed to air for about 10 min to facilitate the formation of surface peroxides and hydroperoxides for subsequent UVinduced surface graft copolymerization. The surface modification of the PTFE film by graft copolymerization with GMA and aniline was carried out in two steps. The first step included a UV-induced graft copolymerization of GMA on the Ar plasmapretreated PTFE film (GMA-g-PTFE surface), whereas the second step involved an oxidative [H2SO4 and (NH4)2S2O8 oxidant] graft copolymerization of aniline on the GMA-g-PTFE surface and thermal curing of PANI chains. The authors proposed two mechanisms for the grafting of aniline on GMA. During the oxidative polymerization of aniline in solution, aniline becomes coupled to the epoxide group of the grafted GMA polymer to promote the subsequent oxidative graft copolymerization with the free aniline in the reaction mixture. At the same time, the physically adsorbed PANI chains are subjected to thermal curing with the grafted GMA polymer chains through the reaction of the amine and epoxide groups.337 Having presented examples of successfully realized possibilities in the current section, we should point out that, when the

7. CONCLUSIONS Plasma-based technologies touch upon numerous domains of the modern world in terms of both materials processing and synthesis. Concerning polymer chemistry, a plasma-assisted treatment allows the surface of a polymer to be modified without affecting its bulk properties, whereas polymer synthesis in a plasma opens doors to the development of a novel class of materials for which the structure−property relationship can be tuned over an extensive range to best fit with the final application. Plasma-treated and -synthesized polymers exhibit, among many notable features, high surface reactivities originating from the interactions with plasma during the course of the process. The present review summarizes current knowledge of how the free radicals present on the surface of plasma-treated or -synthesized polymers, which are considered to be the primary reason for aging, can be advantageously used for controlled functionalization to incorporate desired functionalities or to initiate a free-radical-induced polymerization. To achieve this goal, a thorough investigation of the surface free-radical density, closely associated with the grafting potential, with the help of such techniques as ESR spectroscopy and chemical derivatization is shown to be of prime importance when the aim is efficient surface-chemistry modification. Such a relatively new approach to directional and controllable surface functionalization can be envisaged for numerous potential applications in many fields and, in particular, in biomedicine, where there is high demand for materials that are easily accepted by the human body and do not cause the 3995

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

adverse responses associated with intervention and prolonged recovery after surgery. Plasma-based modifications of polymer surfaces might also be of interest, for example, for membrane applications allowing one to enhance membrane performance, improve selectivity, reduce fouling, and suppress hydrophobic recovery. The tunable reactivity of plasma-treated and -synthesized polymer surfaces makes such surfaces promising platforms for generating surfaces with desired functionalities ranging from simple hydrocarbons and amines to complex chains, depending on the monomer type.

Senior Scientist at the Center of Innovation and Research in Materials and Polymers, University of Mons (Mons, Belgium); as a Research Assistant Professor at North Carolina State University (NCSU, Raleigh, NC); and as a Research and Teaching Assistant at the French Engineering School of Paper, Printing and Biomaterials (PAGORA, Grenoble Institute of Technology, Grenoble, France). He graduated with an M.Sc. degree in Chemistry of Biological and Industrial Polymers from and Cadi Ayyad University (Marrakesh, Morocco) and received his Ph.D. in organic chemistry from Joseph Fourier University (Grenoble, France) prepared jointly with CERMAV (Centre de Recherche sur les Macromolecules Végétales). He has worked across many branches of the sustainable production of polymeric materials. His research interests include biomass conversion technologies, the design of new (bio)polymers and blends, the development of functional and high-performance nanocomposites and coatings, polymer and green chemistries, and surface and interface engineering. He has published over 100 research articles or invited reviews in highstandard peer review journals and (co)edited and/or (co)authored several books and book chapters (H-index = 33).

AUTHOR INFORMATION Corresponding Author

*E-mail: [email protected]. Author Contributions §

F.K. and S.E. contributed equally to this work.

Notes

The authors declare no competing financial interest.

Rony Snyders develops his research in the field of surface engineering by using cold plasma technologies. More precisely, his interests are on understanding the plasma−surface interaction during the treatment of materials, especially thin-film growth. His activities mainly consider the development of new plasma sources and state-of-the-art evaluations of the physicochemical properties of both the plasma phase and the treated surfaces, which allows for conclusions to be drawn about the fundamental mechanisms occurring at the plasma−surface interface. He has coauthored more than 125 papers in peer-reviewed international journals (H-index = 17). He obtained his Master’s degree and his Ph.D. in Chemistry from the University of MonsHainaut (Mons, Belgium) in 1998 and 2004, respectively. Then, he joined the Polytechnic School of Montreal, Canada (2004−2006) and RWTH Aachen University, Aachen, Germany (2006−2007) for two postdoctoral stays. In 2005, he obtained a postdoctoral fellowship from the Fonds de la Recherche Scientifique (FNRS, Brussels, Belgium). In 2007, he obtained an Associate Professor position at the University of Mons, and in 2009, as a Professor, he has created the Plasma−Surface Interaction Laboratory (ChIPS), which currently employs about 40 persons. In addition, he is visiting Professor at Namur University (Namur, Belgium), and since 2007, he has been one of the Scientific Directors of the Materia Nova Research Center (Mons, Belgium). Finally, he is President of the Belgium Vacuum Society (Belvac); a member of scientific committees of international conferences such as PSE, CIP, and RSD; and an expert for several funding agencies in Belgium, Switzerland, France, and Canada.

Biographies Dr. Farid Khelifa is a research assistant at the Center of Innovation and Research in Materials and Polymers, University of Mons. He graduated with an engineering degree from the School of Chemistry and Physics of Bordeaux, France (ENSCPB), in 2008. Subsequently, he worked for some time as an R&D engineer in two large industrial companies, Intel Corporation (Dublin, Ireland) and Solvay (Brussels, Belgium). Afterward, Dr. Khelifa obtained his Ph.D. degree in polymer chemistry from the University of Mons (Mons, Belgium) in 2013, in the Laboratory of Polymeric and Composite Materials (LPCM) led by Professor Philippe Dubois. In the course of his work and studies, Dr. Khelifa has worked on the improvement of intrinsic properties of various thermoplastic and thermoset polymeric systems. His research interests are focused on the development of high-performance polymer composites including nanosized fillers, such as clays, in situ generated silica particles, and carbon nanotubes, acting as reinforcing agents for protective coating applications. In his research activities, Dr. Khelifa also deals with materials synthesized by plasma-based technologies and, more specifically, with plasma polymers and their corresponding functionalization through grafting reactions. Dr. Sergey Ershov is a postdoctoral researcher at Luxembourg Institute of Science and Technology (LIST). He graduated with an engineering degree from Moscow State Institute of Steel and Alloys (MISiS, Moscow, Russia) under the supervision of Prof. Yury Karpov in 2007 and with an M.Sc. from Rheinisch-Westfäl ische Technische Hochschule Aachen (RWTH Aachen University, Aachen, Germany) in 2009, both in metallurgy. During his studies at RWTH Aachen University, Dr. Ershov spent some time in the Materials Chemistry group led by Prof. Jochen M. Schneider, triggering his interest in materials science and plasma technology. Consequently, he obtained a Ph.D. degree in chemistry from Université de Mons (UMONS, Mons, Belgium) in 2014, in the group “Chimie des Interactions Plasma− Surface” (CHIPS) led by Prof. Rony Snyders. There, he enriched his knowledge in plasma-based material synthesis and, in particular, in plasma polymerization. His current research interests comprise fundamental and applied studies on plasma-generated organic and inorganic systems, reactive interfaces, and their potential multidisciplinary applications. Having since youth been attracted to humanitarian subjects, Dr. Ershov’s aspiration is to work in the domain where art/history meets science.

Philippe Dubois, Ph.D. in sciences, is a full professor at University of Mons (Mons, Belgium) and director of the Center of Innovation and Research in Materials & Polymers (CIRMAP, ca. 165 persons). Prof. Dubois is Scientific Director at Materia Nova ASBL Research Center in Mons, Belgium, and Past-President of the Belgian Royal Chemical Society. His expertise covers organic chemistry; macromolecular chemistry; catalysis in polymer materials; and melt (reactive) processing/engineering of nanocomposite and nanohybrid materials, including biodegradable and biosourced polymers. He is currently an adjunct professor at the Chemical Engineering Faculty, Michigan State University (MSU), Lansing, MI; a visiting professor at the King Abdullah University of Science and Technology (KAUST), Thuwal, Saudi Arabia; and a guest professor at the National Key Laboratory of Chemical Engineering, Zhejiang University, Hangzhou, China. He has published more than 615 peer-reviewed scientific articles, 8 books and scientific special journal issues, and 30 book chapters (H-index = 72; overall citations, >24000) and is the inventor of 66 patents. He is a

Dr. Youssef Habibi is a Senior Scientist at Luxembourg Institute of Science and Technology (LIST). Before joining LIST, he worked as a 3996

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

of Chemical Linkers: Towards Better Biosensors and a New Generation of Medical Implants. Biophys. Rev. 2010, 2, 55−65. (16) Sreenivasan, R.; Gleason, K. K. Overview of Strategies for the CVD of Organic Films and Functional Polymer Layers. Chem. Vap. Deposition 2009, 15, 77−90. (17) Siow, K. S.; Britcher, L.; Kumar, S.; Griesser, H. J. Plasma Methods for the Generation of Chemically Reactive Surfaces for Biomolecule Immobilization and Cell ColonizationA Review. Plasma Processes Polym. 2006, 3, 392−418. (18) Denes, F. S.; Manolache, S. Macromolecular Plasma-Chemistry: An Emerging Field of Polymer Science. Prog. Polym. Sci. 2004, 29, 815−885. (19) Siow, K. S.; Kumar, S.; Griesser, H. J. Low-Pressure Plasma Methods for Generating Non-Reactive Hydrophilic and Hydrogel-Like Bio-Interface CoatingsA Review. Plasma Processes Polym. 2015, 12, 8−24. (20) Tserepi, A.; Gogolides, E.; Bourkoula, A.; Kanioura, A.; Kokkoris, G.; Petrou, P. S.; Kakabakos, S. E. Plasma Nanotextured Polymeric Surfaces for Controlling Cell Attachment and Proliferation: A Short Review. Plasma Chem. Plasma Process. 2016, 36, 107−120. (21) Grill, A. Cold Plasma Materials Fabrication: From Fundamentals to Applications; John Wiley & Sons: New York, 1994. (22) Langmuir, I. Oscillations in Ionized Gases. Proc. Natl. Acad. Sci. U. S. A. 1928, 14, 627−637. (23) Jeans, J. H. An Introduction to the Kinetic Theory of Gases; Cambridge University Press: Cambridge, U.K., 1982. (24) Bittencourt, J. A. Fundamentals of Plasma Physics; Springer: New York, 2004. (25) Eliezer, S.; Eliezer, Y. The Fourth State of Matter: An Introduction to Plasma Science, 2nd ed.; CRC Press: Boca Raton, FL, 2001. (26) Lieberman, M. A.; Lichtenberg, A. J. Principles of Plasma Discharges and Materials Processing, 2nd ed.; Wiley: New York, 2005. (27) Fridman, A. Plasma Chemistry; Cambridge University Press: Cambridge, U.K., 2008. (28) Kawai, Y., Ikegami, H., Sato, N., Uchino, K., Kuzuya, M., Matsuda, A., Eds. Industrial Plasma Technology: Applications from Environmental to Energy Technologies; Wiley-VCH: Weinheim, Germany, 2010. (29) Harry, J. E. Introduction to Plasma Technology: Science, Engineering and Applications; Wiley-VCH: Weinheim, Germany, 2010. (30) Kim, H.-H.; Teramoto, Y.; Negishi, N.; Ogata, A. A Multidisciplinary Approach to Understand the Interactions of Nonthermal Plasma and Catalyst: A Review. Catal. Today 2015, 256 (Part 1), 13−22. (31) Laroussi, M. Low-Temperature Plasma Jet for Biomedical Applications: A Review. IEEE Trans. Plasma Sci. 2015, 43, 703−712. (32) Chabert, P.; Braithwaite, N. Physics of Radio-Frequency Plasmas; Cambridge University Press: Cambridge, U.K., 2011. (33) Surowsky, B.; Schlüter, O.; Knorr, D. Interactions of NonThermal Atmospheric Pressure Plasma with Solid and Liquid Food Systems: A Review. Food Eng. Rev. 2015, 7, 82−108. (34) Rossnagel, S. M.; Cuomo, J. J.; Westwood, W. D. Handbook of Plasma Processing Technology: Fundamentals, Etching, Deposition, and Surface Interactions; Noyes Publications: New York, 1990. (35) Chapman, B. Glow Discharge Processes: Sputtering and Plasma Etching; John Wiley & Sons: New York, 1980. (36) Moreno-Couranjou, M.; Palumbo, F.; Sardella, E.; Frache, G.; Favia, P.; Choquet, P. Plasma Deposition of Thermo-Responsive Thin Films from N-Vinylcaprolactam. Plasma Processes Polym. 2014, 11, 816−821. (37) Hertwig, C.; Reineke, K.; Ehlbeck, J.; Knorr, D.; Schlüter, O. Decontamination of Whole Black Pepper Using Different Cold Atmospheric Pressure Plasma Applications. Food Control 2015, 55, 221−229. (38) Weiss, M.; Gümbel, D.; Hanschmann, E.-M.; Mandelkow, R.; Gelbrich, N.; Zimmermann, U.; Walther, R.; Ekkernkamp, A.; Sckell, A.; Kramer, A.; et al. Cold Atmospheric Plasma Treatment Induces Anti-Proliferative Effects in Prostate Cancer Cells by Redox and Apoptotic Signaling Pathways. PLoS One 2015, 10, e0130350.

member of the editorial boards of 18 international scientific journals in the fields of polymer chemistry and materials science. He is a laureate of the FNRS Quinquennal Award in applied exact sciences (2011− 2015), the highest scientific award delivered every five years by the Belgian FNRS and personally awarded by King Philippe of Belgium. Prof. Dubois is vice-rector for research of UMONS and is an elected titular member of the Royal Academy of Sciences of Belgium.

ACKNOWLEDGMENTS The authors are grateful to the “Région Wallonne” and European Community (FEDER, FSE) within the framework of “Pôle d’Excellence Materia Nova” and within the excellence program OPTI2MAT for financial support. CIRMAP thanks the Belgian Federal Government Office Policy of Science (SSTC) for general support within the framework of PAI-6/27. The authors express their cordial gratitude to Dr. Helen Meadows for her indispensable help in correcting English. REFERENCES (1) Ikada, Y. Surface Modification of Polymers for Medical Applications. Biomaterials 1994, 15, 725−736. (2) Ulbricht, M.; Belfort, G. Surface Modification of Ultrafiltration Membranes by Low Temperature Plasma II. Graft Polymerization onto Polyacrylonitrile and Polysulfone. J. Membr. Sci. 1996, 111, 193− 215. (3) Hegemann, D. 4.09. Plasma Polymer Deposition and Coatings on Polymers. In Comprehensive Materials Processing; Elsevier: Oxford, U.K., 2014; Vol. 4, pp 201−228. (4) Hegemann, D.; Brunner, H.; Oehr, C. Plasma Treatment of Polymers for Surface and Adhesion Improvement. Nucl. Instrum. Methods Phys. Res., Sect. B 2003, 208, 281−286. (5) Hegemann, D.; Brunner, H.; Oehr, C. Plasma Treatment of Polymers to Generate Stable, Hydrophobic Surfaces. Plasmas Polym. 2001, 6, 221−235. (6) Pelaz, B.; del Pino, P.; Maffre, P.; Hartmann, R.; Gallego, M.; Rivera-Fernández, S.; de la Fuente, J. M.; Nienhaus, G. U.; Parak, W. J. Surface Functionalization of Nanoparticles with Polyethylene Glycol: Effects on Protein Adsorption and Cellular Uptake. ACS Nano 2015, 9, 6996−7008. (7) Lei, S.; Wang, X.; Li, B.; Kang, J.; He, Y.; George, A.; Ge, L.; Gong, Y.; Dong, P.; Jin, Z.; et al. Surface Functionalization of TwoDimensional Metal Chalcogenides by Lewis Acid−Base Chemistry. Nat. Nanotechnol. 2016, DOI: 10.1038/nnano.2015.323. (8) Desmet, T.; Morent, R.; De Geyter, N.; Leys, C.; Schacht, E.; Dubruel, P. Nonthermal Plasma Technology as a Versatile Strategy for Polymeric Biomaterials Surface Modification: A Review. Biomacromolecules 2009, 10, 2351−2378. (9) Goddard, J. M.; Hotchkiss, J. H. Polymer Surface Modification for the Attachment of Bioactive Compounds. Prog. Polym. Sci. 2007, 32, 698−725. (10) Hiratsuka, A.; Karube, I. Plasma Polymerized Films for Sensor Devices. Electroanalysis 2000, 12, 695−702. (11) Sakudo, A.; Chou, H.; Ikuta, K.; Nagatsu, M. Integration of Antibody by Surface Functionalization of Graphite-Encapsulated Magnetic Beads Using Ammonia Gas Plasma Technology for Capturing Influenza A Virus. Bioorg. Med. Chem. Lett. 2015, 25, 1876−1879. (12) Attri, P.; Arora, B.; Choi, E. H. Utility of Plasma: A New Road from Physics to Chemistry. RSC Adv. 2013, 3, 12540−12567. (13) Michelmore, A.; Steele, D. A.; Whittle, J. D.; Bradley, J. W.; Short, R. D. Nanoscale Deposition of Chemically Functionalised Films via Plasma Polymerisation. RSC Adv. 2013, 3, 13540−13557. (14) Friedrich, J. Mechanisms of Plasma PolymerizationReviewed from a Chemical Point of View. Plasma Processes Polym. 2011, 8, 783− 802. (15) Bilek, M. M.; McKenzie, D. R. Plasma Modified Surfaces for Covalent Immobilization of Functional Biomolecules in the Absence 3997

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

(39) Ma, T. J.; Lan, W. S. Effects of Non-Thermal Plasma Sterilization on Volatile Components of Tomato Juice. Int. J. Environ. Sci. Technol. 2015, 12, 3767−3772. (40) Friedrich, J. The Plasma Chemistry of Polymer Surfaces: Advanced Techniques for Surface Design; Wiley-VCH: Weinheim, Germany, 2012. (41) Chen, F. F.; Chang, J. P. Lecture Notes on Principles of Plasma Processing; Springer: New York, 2003. (42) Thiry, D.; Britun, N.; Konstantinidis, S.; Dauchot, J.-P.; Guillaume, M.; Cornil, J.; Snyders, R. Experimental and Theoretical Study of the Effect of the Inductive-to-Capacitive Transition in Propanethiol Plasma Polymer Chemistry. J. Phys. Chem. C 2013, 117, 9843−9851. (43) Piel, A. Plasma Physics: An Introduction to Laboratory, Space, and Fusion Plasmas; Springer: Berlin, 2010. (44) Fridman, A.; Kennedy, L. A. Plasma Physics and Engineering, 1st ed.; CRC Press: New York, 2004. (45) Bogaerts, A.; Neyts, E.; Gijbels, R.; van der Mullen, J. Gas Discharge Plasmas and their Applications. Spectrochim. Acta, Part B 2002, 57, 609−658. (46) Denis, L. Influence of the Precursor on the Synthesis Mechanisms of Primary Amine-based Plasma Polymers: From Plasma Diagnostic to Film Chemistry and Applications. Ph.D. Thesis, University of Mons, Mons, Belgium, 2009. (47) Laud, B. B. Electromagnetics, 2nd ed.; New Age International Limited: New Delhi, India, 1987. (48) Lee, Y. W.; Lee, H. L.; Chung, T. H. E−H Mode Transition in Low-Pressure Inductively Coupled Nitrogen−Argon and Oxygen− Argon Plasmas. J. Appl. Phys. 2011, 109, 113302. (49) Zaplotnik, R.; Vesel, A.; Mozetic, M. Transition from E to H Mode in Inductively Coupled Oxygen Plasma: Hysteresis and the Behaviour of Oxygen Atom Density. Europhys. Lett. 2011, 95, 55001. (50) Kortshagen, U.; Gibson, N. D.; Lawler, J. E. On the E−H Mode Transition in RF Inductive Discharges. J. Phys. D: Appl. Phys. 1996, 29, 1224−1236. (51) Edamura, M.; Benck, E. C. Effects of Voltage Distribution Along an Induction Coil and Discharge Frequency in Inductively Coupled Plasmas. J. Vac. Sci. Technol., A 2004, 22, 293. (52) Seo, S.-H.; Chung, C.; Chang, H.-Y. Review of Heating Mechanism in Inductively Coupled Plasma. Surf. Coat. Technol. 2000, 131, 1−11. (53) Thiry, D. Experimental and Theoretical Study of the Synthesis of Thiol-Based Plasma Polymers. Ph.D. Thesis, University of Mons, Mons, Belgium, 2013. (54) Makhlouf, A. S. H., Tiginyanu, I., Eds. Nanocoatings and UltraThin Films: Technologies and Applications; Woodhead Publishing: Cambridge, U.K., 2011. (55) Smith, D. L. Thin Film Deposition: Principles and Practice; McGraw-Hill: New York, 1995. (56) Pessoa, R. S.; Fraga, M. A.; Santos, L. V.; Massi, M.; Maciel, H. S. Nanostructured Thin Films Based on TiO2 and/or SiC for Use in Photoelectrochemical Cells: A Review of the Material Characteristics, Synthesis and Recent Applications. Mater. Sci. Semicond. Process. 2015, 29, 56−68. (57) Barranco, A.; Borras, A.; Gonzalez-Elipe, A. R.; Palmero, A. Perspectives on Oblique Angle Deposition of Thin Films: From Fundamentals to Devices. Prog. Mater. Sci. 2016, 76, 59−153. (58) Walia, S.; Shah, C. M.; Gutruf, P.; Nili, H.; Chowdhury, D. R.; Withayachumnankul, W.; Bhaskaran, M.; Sriram, S. Flexible Metasurfaces and Metamaterials: A Review of Materials and Fabrication Processes at Micro- and Nano-Scales. Appl. Phys. Rev. 2015, 2, 011303. (59) Xiong, K.; Hou, L.; Wu, M.; Huo, Y.; Mo, W.; Yuan, Y.; Sun, S.; Xu, W.; Wang, E. From Spin Coating to Doctor Blading: A Systematic Study on the Photovoltaic Performance of an Isoindigo-Based Polymer. Sol. Energy Mater. Sol. Cells 2015, 132, 252−259. (60) Zabihi, F.; Xie, Y.; Gao, S.; Eslamian, M. Morphology, Conductivity, and Wetting Characteristics of PEDOT:PSS Thin Films Deposited by Spin and Spray Coating. Appl. Surf. Sci. 2015, 338, 163−177.

(61) Taylor, D. M. Vacuum-Thermal-Evaporation: The Route for Roll-to-Roll Production of Large-Area Organic Electronic Circuits. Semicond. Sci. Technol. 2015, 30, 054002. (62) Lee, W.; Hong, C. T.; Kwon, O. H.; Yoo, Y.; Kang, Y. H.; Lee, J. Y.; Cho, S. Y.; Jang, K.-S. Enhanced Thermoelectric Performance of Bar-Coated SWCNT/P3HT Thin Films. ACS Appl. Mater. Interfaces 2015, 7, 6550−6556. (63) Dobrzański, L. A.; Gołombek, K.; Lukaszkowicz, K. Physical Vapor Deposition in Manufacturing. In Handbook of Manufacturing Engineering and Technology; Nee, A. Y. C., Ed.; Springer: London, 2015; Chapter 76, pp 2719−2754. (64) Jones, A. C., Hitchman, M. L., Eds. Chemical Vapour Deposition: Precursors, Processes and Applications; RSC Publishing: Cambridge, U.K., 2009. (65) Gleason, K. K., Ed. CVD Polymers: Fabrication of Organic Surfaces and Devices; Wiley-VCH: Weinheim, Germany, 2015. (66) Ohring, M. Materials Science of Thin Films: Deposition and Structure, 2nd ed.; Academic Press: San Diego, CA, 2002. (67) Eskildsen, S. S.; Mathiasen, C.; Foss, M. Plasma CVD: Process Capabilities and Economic Aspects. Surf. Coat. Technol. 1999, 116− 119, 18−24. (68) Pereyra, I.; Alayo, M. I. High Quality Low Temperature DPECVD Silicon Dioxide. J. Non-Cryst. Solids 1997, 212, 225−231. (69) Deshmukh, S. C.; Aydil, E. S. Investigation of Low Temperature SiO2 Plasma Enhanced Chemical Vapor Deposition. J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 1996, 14, 738−743. (70) Ho, S.-S.; Rajgopal, S.; Mehregany, M. Thick PECVD Silicon Dioxide Films for MEMS Devices. Sens. Actuators, A 2016, 240, 1−9. (71) Canaperi, D. F.; Grill, A.; Mehta, S. C.; Nguyen, S. V.; Priyadarshini, D.; Shobha, H.; Shoudy, M. T. (International Business Machines Corporation). Hydrogen-Free Silicon-Based Deposited Dielectric Films for Nano Device Fabrication. U.S. Patent 20150287593, 2015. (72) Hitchman, M. L.; Jensen, K. F. Chemical Vapor Deposition: Principles and Applications; Academic Press: London, 1993. (73) Yasuda, H. Plasma Polymerization; Academic Press: Orlando, FL, 1985. (74) Biederman, H.; Osada, Y. Plasma Chemistry of Polymers. In Polymer Physics; Advances in Polymer Science Series; Springer: Berlin, 1990; Vol. 95, pp 57−109. (75) Biederman, H.; Slavínská, D. Plasma Polymer Films and Their Future Prospects. Surf. Coat. Technol. 2000, 125, 371−376. (76) Biederman, H.; Osada, Y. Plasma Polymerization Processes; Elsevier Science: Amsterdam, The Netherlands, 1992. (77) De Vietro, N.; Belforte, L.; Lambertini, V. G.; Fracassi, F. Low Pressure Plasma Modified Polycarbonate: A Transparent, Low Reflective and Scratch Resistant Material for Automotive Applications. Appl. Surf. Sci. 2014, 307, 698−703. (78) Dragatogiannis, D. A.; Koumoulos, E.; Ellinas, K.; Tserepi, A.; Gogolides, E.; Charitidis, C. A. Nanoscale Mechanical and Tribological Properties of Plasma Nanotextured COP Surfaces with Hydrophobic Coatings. Plasma Processes Polym. 2015, 12, 1271−1283. (79) Alf, M. E.; Asatekin, A.; Barr, M. C.; Baxamusa, S. H.; Chelawat, H.; Ozaydin-Ince, G.; Petruczok, C. D.; Sreenivasan, R.; Tenhaeff, W. E.; Trujillo, N. J.; et al. Chemical Vapor Deposition of Conformal, Functional, and Responsive Polymer Films. Adv. Mater. 2010, 22, 1993−2027. (80) Bhatt, S.; Pulpytel, J.; Mirshahi, M.; Arefi-Khonsari, F. Plasma Co-Polymerized Nano CoatingsAs a Biodegradable Solid Carrier for Tunable Drug Delivery Applications. Polymer 2013, 54, 4820−4829. (81) Ershov, S.; Khelifa, F.; Druart, M. E.; Habibi, Y.; Olivier, M. G.; Snyders, R.; Dubois, P. Free Radical-Induced Grafting from Plasma Polymers for the Synthesis of Thin Barrier Coatings. RSC Adv. 2015, 5, 14256−14265. (82) Ejenstam, L.; Tuominen, M.; Haapanen, J.; Mäkelä, J. M.; Pan, J.; Swerin, A.; Claesson, P. M. Long-Term Corrosion Protection by a Thin Nano-Composite Coating. Appl. Surf. Sci. 2015, 357 (Part B), 2333−2342. 3998

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

(102) Hong, J.; Truica-Marasescu, F.; Martinu, L.; Wertheimer, M. R. An Investigation of Plasma-Polymer Interactions by Mass Spectrometry. Plasmas Polym. 2002, 7, 245−260. (103) Truica-Marasescu, F. E.; Wertheimer, M. R. Vacuum Ultraviolet Photolysis of Hydrocarbon Polymers. Macromol. Chem. Phys. 2005, 206, 744−757. (104) Holländer, A.; Klemberg-Sapieha, J. E.; Wertheimer, M. R. Vacuum-Ultraviolet Induced Oxidation of the Polymers Polyethylene and Polypropylene. J. Polym. Sci., Part A: Polym. Chem. 1995, 33, 2013−2025. (105) Wertheimer, M. R.; Fozza, A. C.; Holländer, A. Industrial Processing of Polymers by Low-Pressure Plasmas: The Role of VUV Radiation. Nucl. Instrum. Methods Phys. Res., Sect. B 1999, 151, 65−75. (106) Holländer, A.; Kröpke, S.; Pippig, F. Chemical Analysis of Functionalized Polymer Surfaces. Surf. Interface Anal. 2008, 40, 379− 385. (107) Yasuda, H. New Insights into Aging Phenomena from Plasma Chemistry. Nucl. Instrum. Methods Phys. Res., Sect. A 2003, 515, 15−30. (108) Yasuda, H.; Hsu, T. Plasma Polymerization Investigated by the Comparison of Hydrocarbons and Perfluorocarbons. Surf. Sci. 1978, 76, 232−241. (109) Yasuda, H. Luminous Chemical Vapor Deposition and Interface Engineering; Surfactant Science Series; Marcel Dekker: New York, 2005; Vol. 122. (110) Hegemann, D.; Körner, E.; Albrecht, K.; Schütz, U.; Guimond, S. Growth Mechanism of Oxygen-Containing Functional Plasma Polymers. Plasma Processes Polym. 2010, 7, 889−898. (111) d’Agostino, R.; Cramarossa, F.; Fracassi, F.; Desimoni, E.; Sabbatini, L.; Zambonin, P. G.; Caporiccio, G. Polymer Film Formation in C2F6−H2 Discharges. Thin Solid Films 1986, 143, 163−175. (112) d’Agostino, R., Flamm, D., Auciello, O., Eds. Plasma Deposition, Treatment and Etching of Polymers: The Treatment and Etching of Polymers; Academic Press: San Diego, CA, 1990. (113) Milella, A.; Palumbo, F.; Favia, P.; Cicala, G.; d’Agostino, R. Continuous and Modulated Deposition of Fluorocarbon Films from cC4F8 Plasmas. Plasma Processes Polym. 2004, 1, 164−170. (114) Michelmore, A.; Charles, C.; Boswell, R. W.; Short, R. D.; Whittle, J. D. Defining Plasma Polymerization: New Insight Into What We Should Be Measuring. ACS Appl. Mater. Interfaces 2013, 5, 5387− 5391. (115) Michelmore, A.; Gross-Kosche, P.; Al-Bataineh, S. A.; Whittle, J. D.; Short, R. D. On the Effect of Monomer Chemistry on Growth Mechanisms of Nonfouling PEG-like Plasma Polymers. Langmuir 2013, 29, 2595−2601. (116) Michelmore, A.; Steele, D. A.; Robinson, D. E.; Whittle, J. D.; Short, R. D. The Link between Mechanisms of Deposition and the Physico-Chemical Properties of Plasma Polymer Films. Soft Matter 2013, 9, 6167−6175. (117) Beck, A. J.; Candan, S.; Short, R. D.; Goodyear, A.; Braithwaite, N. S. J. The Role of Ions in the Plasma Polymerization of Allylamine. J. Phys. Chem. B 2001, 105, 5730−5736. (118) Hegemann, D.; Hossain, M. M.; Körner, E.; Balazs, D. J. Macroscopic Description of Plasma Polymerization. Plasma Processes Polym. 2007, 4, 229−238. (119) Hegemann, D.; Körner, E.; Blanchard, N.; Drabik, M.; Guimond, S. Densification of Functional Plasma Polymers by Momentum Transfer During Film Growth. Appl. Phys. Lett. 2012, 101, 211603. (120) Hegemann, D.; Schütz, U.; Körner, E. Macroscopic Approach to Plasma Polymerization Using the Concept of Energy Density. Plasma Processes Polym. 2011, 8, 689−694. (121) Hegemann, D. Macroscopic Investigation of Reaction Rates Yielding Plasma Polymer Deposition. J. Phys. D: Appl. Phys. 2013, 46, 205204. (122) Rutscher, A.; Wagner, H. E. Chemical Quasi-Equilibria: A New Concept in the Description of Reactive Plasmas. Plasma Sources Sci. Technol. 1993, 2, 279.

(83) Schneider, J.; Baumgärtner, K. M.; Feichtinger, J.; Krüger, J.; Muranyi, P.; Schulz, A.; Walker, M.; Wunderlich, J.; Schumacher, U. Investigation of the Practicability of Low-Pressure Microwave Plasmas in the Sterilisation of Food Packaging Materials at Industrial Level. Surf. Coat. Technol. 2005, 200, 962−966. (84) Plog, S.; Schneider, J.; Walker, M.; Schulz, A.; Stroth, U. Investigations of Plasma Polymerized SiOx Barrier Films for Polymer Food Packaging. Surf. Coat. Technol. 2011, 205, S165−S170. (85) Arolkar, G.; Jacob, S.; Pandiyaraj, K.; Kelkar-Mane, V.; Deshmukh, R. R. Effect of TEOS Plasma Polymerization on Corn Starch/Poly(ε-caprolactone) Film: Characterization, Properties and Biodegradation. RSC Adv. 2016, 6, 16779−16789. (86) Vasilev, K.; Poulter, N.; Martinek, P.; Griesser, H. J. Controlled Release of Levofloxacin Sandwiched between Two Plasma Polymerized Layers on a Solid Carrier. ACS Appl. Mater. Interfaces 2011, 3, 4831−4836. (87) Michl, T. D.; Coad, B. R.; Doran, M.; Osiecki, M.; Kafshgari, M. H.; Voelcker, N. H.; Husler, A.; Vasilev, K.; Griesser, H. J. Nitric Oxide Releasing Plasma Polymer Coating with Bacteriostatic Properties and No Cytotoxic Side Effects. Chem. Commun. 2015, 51, 7058−7060. (88) Cavallaro, A.; Vasilev, K. Controlled and Sustained Release of Pharmaceuticals via Single Step Solvent-Free Encapsulation. Chem. Commun. 2015, 51, 1838−1841. (89) Makhneva, E.; Manakhov, A.; Skládal, P.; Zajíčková, L. Development of Effective QCM Biosensors by Cyclopropylamine Plasma Polymerization and Antibody Immobilization Using CrossLinking Reactions. Surf. Coat. Technol. 2015, DOI: 10.1016/j.surfcoat.2015.09.035. (90) Zhang, Z.; Zhang, S.; He, L.; Peng, D.; Yan, F.; Wang, M.; Zhao, J.; Zhang, H.; Fang, S. Feasible Electrochemical Biosensor Based on Plasma Polymerization-Assisted Composite of Polyacrylic Acid and Hollow TiO2 Spheres for Sensitively Detecting Lysozyme. Biosens. Bioelectron. 2015, 74, 384−390. (91) Wang, B.; Liang, W.; Guo, Z.; Liu, W. Biomimetic SuperLyophobic and Super-Lyophilic Materials Applied for Oil/Water Separation: A New Strategy Beyond Nature. Chem. Soc. Rev. 2015, 44, 336−361. (92) Psarski, M.; Pawlak, D.; Grobelny, J.; Celichowski, G. Hydrophobic and Superhydrophobic Surfaces Fabricated by Plasma Polymerization of Perfluorohexane, Perfluoro(2-methylpent-2-ene), and Perfluoro(4-methylpent-2-ene). J. Adhes. Sci. Technol. 2015, 29, 2035−2048. (93) Yasuda, H. Glow discharge polymerization. Macromol. Rev. 1981, 16, 199−293. (94) Thompson, L. F.; Mayhan, K. G. The Plasma Polymerization of Vinyl Monomers. I. The Design, Construction, and Operation of an Inductively Coupled Plasma Generator and Preliminary Studies with Nine Monomers. J. Appl. Polym. Sci. 1972, 16, 2291−2315. (95) Westwood, A. R. Glow Discharge PolymerizationI. Rates and Mechanisms of Polymer Formation. Eur. Polym. J. 1971, 7, 363−375. (96) Williams, T.; Hayes, M. W. Polymerization in a Glow Discharge. Nature 1966, 209, 769−773. (97) Lam, D. K.; Baddour, R. F.; Stancell, A. F. Fundamentals of Plasma Polymerization. In Plasma Chemistry of Polymers; Shen, M., Ed.; Marcel Dekker: New York, 1976. (98) Poll, H. U.; Arzt, M.; Wickleder, K. H. Reaction Kinetics in the Polymerization of Thin Films on the Electrodes of a Glow-Discharge Gap. Eur. Polym. J. 1976, 12, 505−512. (99) Yasuda, H.; Yasuda, T. Competitive Ablation and Polymerization (CAP) Principle and the Plasma Sensitivity of Elements in Plasma Polymerization and Treatment. J. Polym. Sci., Part A: Polym. Chem. 2000, 38, 943−953. (100) Inagaki, N. Plasma Surface Modification and Plasma Polymerization; Technomic Publishing Company: Lancaster, PA, 1996. (101) Vasilev, K.; Britcher, L.; Casanal, A.; Griesser, H. J. SolventInduced Porosity in Ultrathin Amine Plasma Polymer Coatings. J. Phys. Chem. B 2008, 112, 10915−10921. 3999

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

(123) Hegemann, D.; Körner, E.; Guimond, S. Plasma Polymerization of Acrylic Acid Revisited. Plasma Processes Polym. 2009, 6, 246−254. (124) Hegemann, D.; Schütz, U.; Fischer, A. Macroscopic PlasmaChemical Approach to Plasma Polymerization of HMDSO and CH4. Surf. Coat. Technol. 2005, 200, 458−462. (125) Britun, N.; Ershov, S.; Mel, A. A. E.; Konstantinidis, S.; Ricard, A.; Snyders, R. Resonant Optical Absorption Spectroscopy of Ce. J. Phys. D: Appl. Phys. 2013, 46, 175202. (126) Gaillard, M.; Britun, N.; Kim, Y. M.; Han, J. G. Titanium Density Analysed by Optical Absorption and Emission Spectroscopy in a DC Magnetron Discharge. J. Phys. D: Appl. Phys. 2007, 40, 809− 817. (127) Denis, L.; Cossement, D.; Godfroid, T.; Renaux, F.; Bittencourt, C.; Snyders, R.; Hecq, M. Synthesis of Allylamine Plasma Polymer Films: Correlation between Plasma Diagnostic and Film Characteristics. Plasma Processes Polym. 2009, 6, 199−208. (128) Ligot, S.; Guillaume, M.; Gerbaux, P.; Thiry, D.; Renaux, F.; Cornil, J.; Dubois, P.; Snyders, R. Combining Mass Spectrometry Diagnostic and DFT Calculations to get a better Understanding of the Plasma Polymerization of Ethyl Lactate. J. Phys. Chem. B 2014, 118, 4201−4211. (129) Ligot, S.; Renaux, F.; Denis, L.; Cossement, D.; Nuns, N.; Dubois, P.; Snyders, R. Experimental Study of the Plasma Polymerization of Ethyl Lactate. Plasma Processes Polym. 2013, 10, 999−1009. (130) Thiry, D.; Francq, R.; Cossement, D.; Guillaume, M.; Cornil, J.; Snyders, R. A Detailed Description of the Chemistry of Thiol Supporting Plasma Polymer Films. Plasma Processes Polym. 2014, 11, 606−615. (131) Denis, L.; Renaux, F.; Cossement, D.; Bittencourt, C.; Tuccitto, N.; Licciardello, A.; Hecq, M.; Snyders, R. Physico-Chemical Characterization of Methyl Isobutyrate-based Plasma Polymer Films. Plasma Processes Polym. 2011, 8, 127−137. (132) Ershov, S.; Khelifa, F.; Lemaur, V.; Cornil, J.; Cossement, D.; Habibi, Y.; Dubois, P.; Snyders, R. Free Radical Generation and Concentration in a Plasma Polymer: The Effect of Aromaticity. ACS Appl. Mater. Interfaces 2014, 6, 12395−12405. (133) Cossement, D.; Renaux, F.; Thiry, D.; Ligot, S.; Francq, R.; Snyders, R. Chemical and Microstructural Characterizations of Plasma Polymer Films by Time-of-Flight Secondary Ion Mass Spectrometry and Principal Component Analysis. Appl. Surf. Sci. 2015, 355, 842− 848. (134) Whittle, J. D.; Short, R. D.; Steele, D. A.; Bradley, J. W.; Bryant, P. M.; Jan, F.; Biederman, H.; Serov, A. A.; Choukurov, A.; Hook, A. L.; et al. Variability in Plasma Polymerization ProcessesAn International Round-Robin Study. Plasma Processes Polym. 2013, 10, 767−778. (135) Drabik, M.; Kousal, J.; Celma, C.; Rupper, P.; Biederman, H.; Hegemann, D. Influence of Deposition Conditions on Structure and Aging of C:H:O Plasma Polymer Films Prepared from Acetone/ CO2Mixtures. Plasma Processes Polym. 2014, 11, 496−508. (136) Hegemann, D.; Michlíček, M.; Blanchard, N. E.; Schütz, U.; Lohmann, D.; Vandenbossche, M.; Zajíčková, L.; Drábik, M. Deposition of Functional Plasma Polymers Influenced by Reactor Geometry in Capacitively Coupled Discharges. Plasma Processes Polym. 2016, 13, 279−286. (137) Thiry, D.; Britun, N.; Konstantinidis, S.; Dauchot, J.-P.; Denis, L.; Snyders, R. Altering the Sulfur Content in the Propanethiol Plasma Polymers Using the Capacitive-To-Inductive Mode Transition in Inductively Coupled Plasma Discharge. Appl. Phys. Lett. 2012, 100, 071604. (138) Yasuda, H. K. Some Important Aspects of Plasma Polymerization. Plasma Processes Polym. 2005, 2, 293−304. (139) Gilliam, M. A.; Yu, Q.; Yasuda, H. Plasma Polymerization Behavior of Fluorocarbon Monomers in Low-Pressure AF and RF Discharges. Plasma Processes Polym. 2007, 4, 165−172. (140) Hegemann, D. Macroscopic Control of Plasma Polymerization Processes. Pure Appl. Chem. 2008, 80, 1893−1900.

(141) Alexander, M. R.; Duc, T. M. A Study of the Interaction of Acrylic Acid/1,7-Octadiene Plasma Deposits with Water and Other Solvents. Polymer 1999, 40, 5479−5488. (142) Candan, S.; Beck, A. J.; O’Toole, L.; Short, R. D. Effects of “Processing Parameters” in Plasma Deposition: Acrylic Acid Revisited. J. Vac. Sci. Technol., A 1998, 16, 1702−1709. (143) Jafari, R.; Tatoulian, M.; Morscheidt, W.; Arefi-Khonsari, F. Stable Plasma Polymerized Acrylic Acid Coating Deposited on Polyethylene (PE) Films in a Low Frequency Discharge (70 kHz). React. Funct. Polym. 2006, 66, 1757−1765. (144) Rinsch, C. L.; Chen, X.; Panchalingam, V.; Eberhart, R. C.; Wang, J.-H.; Timmons, R. B. Pulsed Radio Frequency Plasma Polymerization of Allyl Alcohol: Controlled Deposition of Surface Hydroxyl Groups. Langmuir 1996, 12, 2995−3002. (145) Ryan, M. E.; Hynes, A. M.; Badyal, J. P. S. Pulsed Plasma Polymerization of Maleic Anhydride. Chem. Mater. 1996, 8, 37−42. (146) Timmons, R. B.; Griggs, A. J. Pulsed Plasma Polymerizations. In Plasma Polymer Films; Biederman, H., Ed.; Imperial College Press: London, 2004; Chapter 6, pp 217−245. (147) Martin, L.; Esteve, J.; Borrós, S. Growth vs. Nucleation of Conducting Polymers Thin Films Obtained by Plasma-Enhanced Chemical Vapor Deposition. Thin Solid Films 2004, 451−452, 74−80. (148) Retzko, I.; Friedrich, J. F.; Lippitz, A.; Unger, W. E. S. Chemical Analysis of Plasma-Polymerized Films: The Application of X-ray Photoelectron Spectroscopy (XPS), X-ray Absorption Spectroscopy (NEXAFS) and Fourier Transform Infrared Spectroscopy (FTIR). J. Electron Spectrosc. Relat. Phenom. 2001, 121, 111−129. (149) Tsai, C.-H.; Li, Y.-S.; Cheng, I. C.; Chen, J.-Z. O2/HMDSOPlasma-Deposited Organic−Inorganic Hybrid Film for Gate Dielectric of MgZnO Thin-Film Transistor. Plasma Processes Polym. 2014, 11, 89−95. (150) Nagasawa, H.; Minamizawa, T.; Kanezashi, M.; Yoshioka, T.; Tsuru, T. Microporous Organosilica Membranes for Gas Separation Prepared via PECVD Using Different O/Si Ratio Precursors. J. Membr. Sci. 2015, 489, 11−19. (151) Villegas, M.; Romero, A. I.; Parentis, M. L.; Vidaurre, E. F. C.; Gottifredi, J. C. Acrylic Acid Plasma Polymerized Poly(3-hydroxybutyrate) Membranes for Methanol/MTBE Separation by Pervaporation. Chem. Eng. Res. Des. 2016, DOI: 10.1016/j.cherd.2016.01.018. (152) Baumann, L.; Hegemann, D.; de Courten, D.; Wolf, M.; Rossi, R. M.; Meier, W. P.; Scherer, L. J. Tuning the Resistance of Polycarbonate Membranes by Plasma-Induced Graft Surface Modification. Appl. Surf. Sci. 2013, 268, 450−457. (153) Boutamine, M.; Bellel, A.; Sahli, S.; Segui, Y.; Raynaud, P. Hexamethyldisiloxane Thin Films as Sensitive Coating for Quartz Crystal Microbalance Based Volatile Organic Compounds Sensors. Thin Solid Films 2014, 552, 196−203. (154) Koegler, P.; Pasic, P.; Gardiner, J.; Glattauer, V.; Kingshott, P.; Thissen, H. Polymerizable Peptide Copolymer Coatings for the Control of Biointerfacial Interactions. Biomacromolecules 2014, 15, 2265−2273. (155) Fahmy, A.; Mix, R.; Schönhals, A.; Friedrich, J. Structure of Plasma-Deposited Copolymer Films Prepared from Acrylic Acid and Styrene: Part II Variation of the Comonomer Ratio. Plasma Processes Polym. 2013, 10, 750−760. (156) Anderson, K. D.; Young, S. L.; Jiang, H.; Jakubiak, R.; Bunning, T. J.; Naik, R. R.; Tsukruk, V. V. Plasma-Enhanced Copolymerization of Amino Acid and Synthetic Monomers. Langmuir 2012, 28, 1833− 1845. (157) Coad, B. R.; Bilgic, T.; Klok, H.-A. Polymer Brush Gradients Grafted from Plasma-Polymerized Surfaces. Langmuir 2014, 30, 8357− 8365. (158) Cho, S.-J.; Boo, J.-H. Characteristics of Multilayered PlasmaPolymer Thin Films Using Toluene and TEOS by PECVD. Microelectron. Eng. 2012, 89, 19−22. (159) Ghali, N.; Vivien, C.; Mutel, B.; Rives, A. Multilayer Coating by Plasma Polymerization of TMDSO Deposited on Carbon Steel: Synthesis and Characterization. Surf. Coat. Technol. 2014, 259 (PartC), 504−516. 4000

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

(160) Cheng, Y. L.; Wang, Y. K.; Chen, P.; Deng, S. B.; Ruan, R. Non-Thermal Plasma Assisted Polymer Surface Modification and Synthesis: A Review. Int. J. Agric. Biol. Eng. 2014, 7, 1−9. (161) Patra, S.; Anjum, S.; Ray, A. R.; Gupta, B. Effect of CO2 Plasma Exposure on Physico-Chemical Properties of Porous Polycaprolactone Scaffold. Polym. Bull. 2015, 1−16. (162) Akhavan, B.; Jarvis, K.; Majewski, P. Development of Oxidized Sulfur Polymer Films through a Combination of Plasma Polymerization and Oxidative Plasma Treatment. Langmuir 2014, 30, 1444− 1454. (163) Valence, S. d.; Tille, J.-C.; Chaabane, C.; Gurny, R.; BochatonPiallat, M.-L.; Walpoth, B. H.; Möller, M. Plasma Treatment for Improving Cell Biocompatibility of a Biodegradable Polymer Scaffold for Vascular Graft Applications. Eur. J. Pharm. Biopharm. 2013, 85, 78−86. (164) Hojati-Talemi, P.; Zou, L.; Fabretto, M.; Short, R. D. Using Oxygen Plasma Treatment to Improve the Performance of Electrodes for Capacitive Water Deionization. Electrochim. Acta 2013, 106, 494− 499. (165) Ko, Y.-M.; Choi, D.-Y.; Jung, S.-C.; Kim, B.-H. Characteristics of Plasma Treated Electrospun Polycaprolactone (PCL) Nanofiber Scaffold for Bone Tissue Engineering. J. Nanosci. Nanotechnol. 2015, 15, 192−195. (166) Oyane, A.; Uchida, M.; Yokoyama, Y.; Choong, C.; Triffitt, J.; Ito, A. Simple Surface Modification of Poly(ε-caprolactone) to Induce Its Apatite-Forming Ability. J. Biomed. Mater. Res., Part A 2005, 75A, 138−145. (167) Parvinzadeh Gashti, M.; Hegemann, D.; Stir, M.; Hulliger, J. Thin Film Plasma Functionalization of Polyethylene Terephthalate to Induce Bone-Like Hydroxyapatite Nanocrystals. Plasma Processes Polym. 2014, 11, 37−43. (168) Kim, Y. J.; Kang, I.-K.; Huh, M. W.; Yoon, S.-C. Surface Characterization and in Vitro Blood Compatibility of Poly(ethylene terephthalate) Immobilized with Insulin and/or Heparin Using Plasma Glow Discharge. Biomaterials 2000, 21, 121−130. (169) Zanini, S.; Barni, R.; Della Pergola, R.; Riccardi, C. Modification of the PTFE Wettability by Oxygen Plasma Treatments: Influence of the Operating Parameters and Investigation of the Ageing Behaviour. J. Phys. D: Appl. Phys. 2014, 47, 325202. (170) Hosseini, S.; Ibrahim, F.; Djordjevic, I.; Koole, L. H. Recent Advances in Surface Functionalization Techniques on Polymethacrylate Materials for Optical Biosensor Applications. Analyst 2014, 139, 2933−2943. (171) Yoshida, S.; Hagiwara, K.; Hasebe, T.; Hotta, A. Surface Modification of Polymers by Plasma Treatments for the Enhancement of Biocompatibility and Controlled Drug Release. Surf. Coat. Technol. 2013, 233, 99−107. (172) Gołda, M.; Brzychczy-Włoch, M.; Faryna, M.; Engvall, K.; Kotarba, A. Oxygen Plasma Functionalization of Parylene C Coating for Implants Surface: Nanotopography and Active Sites for Drug Anchoring. Mater. Sci. Eng., C 2013, 33, 4221−4227. (173) Griesser, H. J.; Chatelier, R. C.; Gengenbach, T. R.; Johnson, G.; Steele, J. G. Growth of Human Cells on Plasma Polymers: Putative Role of Amine and Amide Groups. J. Biomater. Sci., Polym. Ed. 1994, 5, 531−554. (174) Perez-Roldan, M. J.; Debarnot, D.; Poncin-Epaillard, F. Processing of Plasma-Modified and Polymer-Grafted Hydrophilic PET Surfaces, and Study of their Aging and Bioadhesive Properties. RSC Adv. 2014, 4, 31409−31415. (175) Taraballi, F.; Zanini, S.; Lupo, C.; Panseri, S.; Cunha, C.; Riccardi, C.; Marcacci, M.; Campione, M.; Cipolla, L. Amino and Carboxyl Plasma Functionalization of Collagen Films for Tissue Engineering Applications. J. Colloid Interface Sci. 2013, 394, 590−597. (176) Inagaki, N.; Tasaka, S.; Hibi, K. Surface Modification of Kapton Film by Plasma Treatments. J. Polym. Sci., Part A: Polym. Chem. 1992, 30, 1425−1431. (177) Ko, T.-M.; Lin, J.-C.; Cooper, S. L. Surface Characterization and Platelet Adhesion Studies of Plasma-Carboxylated Polyethylene. J. Colloid Interface Sci. 1993, 156, 207−217.

(178) Cueff, R.; Baud, G.; Benmalek, M.; Besse, J. P.; Butruille, J. R.; Jacquet, M. X-ray Photoelectron Spectroscopy Studies of PlasmaModified PET Surface and Alumina/PET Interface. Appl. Surf. Sci. 1997, 115, 292−298. (179) Daw, R.; Candan, S.; Beck, A. J.; Devlin, A. J.; Brook, I. M.; MacNeil, S.; Dawson, R. A.; Short, R. D. Plasma Copolymer Surfaces of Acrylic Acid/1,7-Octadiene: Surface Characterisation and the Attachment of ROS 17/2.8 Osteoblast-Like Cells. Biomaterials 1998, 19, 1717−1725. (180) Daw, R.; Brook, I. M.; Devlin, A. J.; Short, R. D.; Cooper, E.; Leggett, G. J. A Comparative Study of Cell Attachment to Self Assembled Monolayers and Plasma Polymers. J. Mater. Chem. 1998, 8, 2583−2584. (181) Haddow, D. B.; France, R. M.; Short, R. D.; MacNeil, S.; Dawson, R. A.; Leggett, G. J.; Cooper, E. Comparison of Proliferation and Growth of Human Keratinocytes on Plasma Copolymers of Acrylic Acid/1,7-Octadiene and Self-Assembled Monolayers. J. Biomed. Mater. Res. 1999, 47, 379−387. (182) Yang, J. S.; Yang, L. Preparation and Application of Cyclodextrin Immobilized Polysaccharides. J. Mater. Chem. B 2013, 1, 909−918. (183) DiCosimo, R.; McAuliffe, J.; Poulose, A. J.; Bohlmann, G. Industrial Use of Immobilized Enzymes. Chem. Soc. Rev. 2013, 42, 6437−6474. (184) Zhang, Z.; Knoll, W.; Förch, R. Amino-Functionalized Plasma Polymer Films for DNA Immobilization and Hybridization. Surf. Coat. Technol. 2005, 200, 993−995. (185) Tjong, V.; Tang, L.; Zauscher, S.; Chilkoti, A. ″Smart″ DNA Interfaces. Chem. Soc. Rev. 2014, 43, 1612−1626. (186) Kleinhans, C.; Barz, J.; Wurster, S.; Willig, M.; Oehr, C.; Müller, M.; Walles, H.; Hirth, T.; Kluger, P. J. Ammonia Plasma Treatment of Polystyrene Surfaces Enhances Proliferation of Primary Human Mesenchymal Stem Cells and Human Endothelial Cells. Biotechnol. J. 2013, 8, 327−337. (187) Syromotina, D. S.; Surmenev, R. A.; Surmeneva, M. A.; Boyandin, A. N.; Nikolaeva, E. D.; Prymak, O.; Epple, M.; Ulbricht, M.; Oehr, C.; Volova, T. G. Surface Wettability and Energy Effects on the Biological Performance of Poly-3-Hydroxybutyrate Films Treated with RF Plasma. Mater. Sci. Eng., C 2016, DOI: 10.1016/ j.msec.2016.01.075. (188) Snyders, R.; Zabeida, O.; Roberges, C.; Shingel, K. I.; Faure, M.-P.; Martinu, L.; Klemberg-Sapieha, J. E. Mechanism of Adhesion between Protein-Based Hydrogels and Plasma Treated Polypropylene Backing. Surf. Sci. 2007, 601, 112−122. (189) Bryjak, M.; Gancarz, I.; Poźniak, G.; Tylus, W. Modification of Polysulfone Membranes 4. Ammonia Plasma Treatment. Eur. Polym. J. 2002, 38, 717−726. (190) Oehr, C.; Müller, M.; Elkin, B.; Hegemann, D.; Vohrer, U. Plasma GraftingA Method to Obtain Monofunctional Surfaces. Surf. Coat. Technol. 1999, 116−119, 25−35. (191) Couturaud, B.; Mas, A.; Robin, J. J. Surface-Initiated Reversible Addition−Fragmentation Chain Transfer Polymerization from “Clickable” Polypropylene Surface Modified by Iodine Plasma Activation. Eur. Polym. J. 2015, 70, 276−285. (192) Gupta, B.; Anjum, N. Plasma and Radiation-Induced Graft Modification of Polymers for Biomedical Applications. In Radiation Effects on Polymers for Biological Use; Kausch, H., Ed.; Springer: Berlin, 2003; Chapter 2, pp 35−61. (193) Coad, B. R.; Styan, K. E.; Meagher, L. One Step ATRP Initiator Immobilization on Surfaces Leading to Gradient-Grafted Polymer Brushes. ACS Appl. Mater. Interfaces 2014, 6, 7782−7789. (194) Heise, C.; Schedler, U.; Wettmarshausen, S.; Friedrich, J. F. Plasma-Brominated Cyclo-Olefin Polymer Slides: Suitable Macroinitiators for Activator Regenerated by Electron Transfer/Atom Radical Transfer Polymerization. J. Appl. Polym. Sci. 2014, 131, 40662. (195) Adjizian, J. J.; De Marco, P.; Suarez-Martinez, I.; El Mel, A. A.; Snyders, R.; Gengler, R. Y. N.; Rudolf, P.; Ke, X.; Van Tendeloo, G.; Bittencourt, C.; et al. Platinum and Palladium on Carbon Nanotubes: 4001

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Experimental and Theoretical Studies. Chem. Phys. Lett. 2013, 571, 44−48. (196) Bittencourt, C.; Navio, C.; Nicolay, A.; Ruelle, B.; Godfroid, T.; Snyders, R.; Colomer, J. F.; Lagos, M. J.; Ke, X.; Van Tendeloo, G.; et al. Atomic Oxygen Functionalization of Vertically Aligned Carbon Nanotubes. J. Phys. Chem. C 2011, 115, 20412−20418. (197) Ruelle, B.; Peeterbroeck, S.; Godfroid, T.; Bittencourt, C.; Hecq, M.; Snyders, R.; Dubois, P. Selective Grafting of Primary Amines onto Carbon Nanotubes via Free-Radical Treatment in Microwave Plasma Post-Discharge. Polymers 2012, 4, 296−315. (198) Scardamaglia, M.; Aleman, B.; Amati, M.; Ewels, C.; Pochet, P.; Reckinger, N.; Colomer, J. F.; Skaltsas, T.; Tagmatarchis, N.; Snyders, R.; et al. Nitrogen Implantation of Suspended Graphene Flakes: Annealing Effects and Selectivity of sp2 Nitrogen Species. Carbon 2014, 73, 371−381. (199) Scardamaglia, M.; Amati, M.; Llorente, B.; Mudimela, P.; Colomer, J. F.; Ghijsen, J.; Ewels, C.; Snyders, R.; Gregoratti, L.; Bittencourt, C. Nitrogen Ion Casting on Vertically Aligned Carbon Nanotubes: Tip and Sidewall Chemical Modification. Carbon 2014, 77, 319−328. (200) Scardamaglia, M.; Struzzi, C.; Aparicio Rebollo, F. J.; De Marco, P.; Mudimela, P. R.; Colomer, J.-F.; Amati, M.; Gregoratti, L.; Petaccia, L.; Snyders, R.; et al. Tuning Electronic Properties of Carbon Nanotubes by Nitrogen Grafting: Chemistry and Chemical Stability. Carbon 2015, 83, 118−127. (201) Kondyurin, A.; Levchenko, I.; Han, Z. J.; Yick, S.; MaiProchnow, A.; Fang, J.; Ostrikov, K.; Bilek, M. M. M. Hybrid Graphite Film−Carbon Nanotube Platform for Enzyme Immobilization and Protection. Carbon 2013, 65, 287−295. (202) Guex, A. G.; Hegemann, D.; Giraud, M. N.; Tevaearai, H. T.; Popa, A. M.; Rossi, R. M.; Fortunato, G. Covalent Immobilisation of VEGF on Plasma-Coated Electrospun Scaffolds for Tissue Engineering Applications. Colloids Surf., B 2014, 123, 724−733. (203) Hody, H.; Pireaux, J. J.; Choquet, P.; Moreno-Couranjou, M. Plasma Functionalization of Silicon Carbide Crystalline Nanoparticles in a Novel Low Pressure Powder Reactor. Surf. Coat. Technol. 2010, 205, 22−29. (204) Vahlas, C.; Caussat, B.; Serp, P.; Angelopoulos, G. N. Principles and Applications of CVD Powder Technology. Mater. Sci. Eng., R 2006, 53, 1−72. (205) Yeo, S.; Choi, C.; Woong Jang, C.; Lee, S.; Min Jhon, Y. Sensitivity Enhancement of Carbon Nanotube Based Ammonium Ion Sensors through Surface Modification by Using Oxygen Plasma Treatment. Appl. Phys. Lett. 2013, 102, 073108. (206) Mishra, P.; Harsh; Islam, S. S. Surface Modification of MWCNTs by O2 Plasma Treatment and Its Exposure Time Dependent Analysis by SEM, TEM and Vibrational Spectroscopy. Superlattices Microstruct. 2013, 64, 399−407. (207) Zhang, J.; Feng, T.; Yu, W.; Liu, X.; Wang, X.; Li, Q. Enhancement of Field Emission from Hydrogen Plasma Processed Carbon Nanotubes. Diamond Relat. Mater. 2004, 13, 54−59. (208) Saikia, N. J.; Ewels, C.; Colomer, J.-F.; Aleman, B.; Amati, M.; Gregoratti, L.; Hemberg, A.; Thiry, D.; Snyders, R.; Bittencourt, C. Plasma Fluorination of Vertically Aligned Carbon Nanotubes. J. Phys. Chem. C 2013, 117, 14635−14641. (209) Plank, N. O. V.; Jiang, L.; Cheung, R. Fluorination of Carbon Nanotubes in CF4 Plasma. Appl. Phys. Lett. 2003, 83, 2426−2428. (210) Loos, M. R.; Nahorny, J.; Cesar Fontana, L. Plasma Modification of Carbon Nanotubes. Curr. Org. Chem. 2013, 17, 1880−1893. (211) Felten, A.; Bittencourt, C.; Pireaux, J. J.; Van Lier, G.; Charlier, J. C. Radio-Frequency Plasma Functionalization of Carbon Nanotubes Surface O2, NH3, and CF4 Treatments. J. Appl. Phys. 2005, 98, 074308. (212) Xu, T.; Yang, J.; Liu, J.; Fu, Q. Surface Modification of MultiWalled Carbon Nanotubes by O2 Plasma. Appl. Surf. Sci. 2007, 253, 8945−8951. (213) Kalita, G.; Adhikari, S.; Aryal, H. R.; Ghimre, D. C.; Afre, R.; Soga, T.; Sharon, M.; Umeno, M. Fluorination of Multi-Walled

Carbon Nanotubes (MWNTs) via Surface Wave Microwave (SWMW) Plasma Treatment. Phys. E 2008, 41, 299−303. (214) Mirzadeh, H.; Bagheri, S. Comparison of the Effect of Excimer Laser Irradiation and RF Plasma Treatment on Polystyrene Surface. Radiat. Phys. Chem. 2007, 76, 1435−1440. (215) Kolárǒ vá, K.; Vosmanská, V.; Rimpelová, S.; Švorčík, V. Effect of Plasma Treatment on Cellulose Fiber. Cellulose 2013, 20, 953−961. (216) Jaleh, B.; Parvin, P.; Sheikh, N.; Hajivaliei, M.; Hasani, E. Surface Modification of Lexan Treated by RF Plasma. Surf. Coat. Technol. 2009, 203, 2759−2762. (217) Lai, J.; Sunderland, B.; Xue, J.; Yan, S.; Zhao, W.; Folkard, M.; Michael, B. D.; Wang, Y. Study on Hydrophilicity of Polymer Surfaces Improved by Plasma Treatment. Appl. Surf. Sci. 2006, 252, 3375− 3379. (218) Vosmanska, V.; Kolarova, K.; Rimpelova, S.; Svorcik, V. Surface Modification of Oxidized Cellulose Haemostat by Argon Plasma Treatment. Cellulose 2014, 21, 2445−2456. (219) Cheng, Z.; Teoh, S.-H. Surface Modification of Ultra Thin Poly(ε-caprolactone) Films Using Acrylic Acid and Collagen. Biomaterials 2004, 25, 1991−2001. (220) Kang, E. T.; Tan, K. L.; Kato, K.; Uyama, Y.; Ikada, Y. Surface Modification and Functionalization of Polytetrafluoroethylene Films. Macromolecules 1996, 29, 6872−6879. (221) Sun, H.-X.; Zhang, L.; Chai, H.; Chen, H.-L. Surface Modification of Poly(tetrafluoroethylene) Films via Plasma Treatment and Graft Copolymerization of Acrylic Acid. Desalination 2006, 192, 271−279. (222) Ershov, S.; Khelifa, F.; Dubois, P.; Snyders, R. Derivatization of Free Radicals in an Isopropanol Plasma Polymer Film: The First Step toward Polymer Grafting. ACS Appl. Mater. Interfaces 2013, 5, 4216− 4223. (223) Khelifa, F.; Ershov, S.; Habibi, Y.; Snyders, R.; Dubois, P. Use of Free Radicals on the Surface of Plasma Polymer for the Initiation of a Polymerization Reaction. ACS Appl. Mater. Interfaces 2013, 5, 11569−11577. (224) Wang, C. X.; Du, M.; Lv, J. C.; Zhou, Q. Q.; Ren, Y.; Liu, G. L.; Gao, D. W.; Jin, L. M. Surface Modification of Aramid Fiber by Plasma Induced Vapor Phase Graft Polymerization of Acrylic Acid. I. Influence of Plasma Conditions. Appl. Surf. Sci. 2015, 349, 333−342. (225) Pandiyaraj, K. N.; Ferraria, A. M.; Rego, A. M. B. d.; Deshmukh, R. R.; Su, P.-G.; Halleluyah, J. M.; Halim, A. S. LowPressure Plasma Enhanced Immobilization of Chitosan on LowDensity Polyethylene for Bio-Medical Applications. Appl. Surf. Sci. 2015, 328, 1−12. (226) Pandiyaraj, K. N.; Deshmukh, R. R.; Ruzybayev, I.; Shah, S. I.; Su, P.-G.; Halleluyah, J. m.; Halim, A. S. Influence of Non-Thermal Plasma Forming Gases on Improvement of Surface Properties of Low Density Polyethylene (LDPE). Appl. Surf. Sci. 2014, 307, 109−119. (227) Melnichuk, I.; Choukourov, A.; Bilek, M.; Weiss, A.; Vandrovcová, M.; Bačaḱ ová, L.; Hanuš, J.; Kousal, J.; Shelemin, A.; Solař, P.; et al. Direct Covalent Coupling of Proteins to Nanostructured Plasma Polymers: A Route to Tunable Cell Adhesion. Appl. Surf. Sci. 2015, 351, 537−545. (228) Chatelier, R. C.; Xie, X.; Gengenbach, T. R.; Griesser, H. J. Quantitative Analysis of Polymer Surface Restructuring. Langmuir 1995, 11, 2576−2584. (229) Xie, X.; Gengenbach, T. R.; Griesser, H. J. Changes in Wettability with Time of Plasma-Modified Perfluorinated Polymers. J. Adhes. Sci. Technol. 1992, 6, 1411−1431. (230) Swaraj, S.; Oran, U.; Lippitz, A.; Friedrich, J. F.; Unger, W. E. S. Aging of Plasma-Deposited Films Prepared from Organic Monomers. Plasma Processes Polym. 2007, 4, S784−S789. (231) Swaraj, S.; Oran, U.; Lippitz, A.; Schulze, R. D.; Friedrich, J. F.; Unger, W. E. S. Surface Analysis of Plasma-Deposited Polymer Films, 2 Analysis of Post-Plasma Air Reacted Plasma Polymerized Styrene by X-ray Photoelectron Spectroscopy and X-ray Absorption Spectroscopy. Plasma Processes Polym. 2004, 1, 134−140. 4002

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

Tool for Studying Antioxidants and Radicals. Curr. Med. Chem. 2013, 20, 4731−4743. (253) Caretti, I.; Jiménez, I.; Van Doorslaer, S. Chemical Changes in Irradiated Polypropylene Studied by X-ray Photoabsorption and Advanced EPR/ENDOR Spectroscopies. Eur. Polym. J. 2014, 53, 223− 229. (254) Forbes, M. D. E.; Jarocha, L. E.; Sim, S.; Tarasov, V. F. Timeresolved electron paramagnetic resonance spectroscopy: History, technique, and application to supramolecular and macromolecular chemistry. In Advances in Physical Organic Chemistry; Williams, I. H., Williams, N. H., Eds.; Elsevier, 2013; Vol. 47, Chapter 1, pp 1−83. (255) Kuzuya, M.; Yamashiro, T.; Kondo, S. I.; Sugito, M.; Mouri, M. Plasma-Induced Surface Radicals of Low-Density Polyethylene Studied by Electron Spin Resonance. Macromolecules 1998, 31, 3225−3229. (256) Yamauchi, Y.; Kuzuya, M.; Sasai, Y.; Kondo, S. I. Construction of Matrix-Type Drug Delivery System Using Solid Phase Polymerization Initiated by Plasma-Induced Radicals. J. Photopolym. Sci. Technol. 2013, 26, 529−532. (257) Yue Qian, S.; Kadiiska, M. B.; Guo, Q.; Mason, R. P. A Novel Protocol to Identify and Quantify All Spin Trapped Free Radicals from in Vitro/in Vivo Interaction of HO and DMSO: LC/ESR, LC/MS, and Dual Spin Trapping Combinations. Free Radical Biol. Med. 2005, 38, 125−135. (258) Podmore, I.; Cunliffe, L.; Heshmati, M. Rapid Detection of Free Radicals Using Spin Trapping and MALDI-TOF Mass Spectrometry. J. Chem. Res. 2013, 37, 45−47. (259) Oldfield, F. F.; Cowan, D. L.; Yasuda, H. K. ESR Study of the Plasma Polymerizations of Trimethylsilane and Methane. Plasmas Polym. 2000, 5, 235−253. (260) Kuzuya, M.; Ito, H.; Kondo, S. I.; Noda, N.; Noguchi, A. Electron Spin Resonance Study of the Special Features of PlasmaInduced Radicals and their Corresponding Peroxy Radicals in Polytetrafluoroethylene. Macromolecules 1991, 24, 6612−6617. (261) Kuzuya, M.; Noguchi, A.; Ito, H.; Kondo, S.-I.; Noda, N. Electron Spin Resonance Studies of Plasma-Induced Polystyrene Radicals. J. Polym. Sci., Part A: Polym. Chem. 1991, 29, 1−7. (262) Kondyurin, A.; Naseri, P.; Fisher, K.; McKenzie, D. R.; Bilek, M. M. M. Mechanisms for Surface Energy Changes Observed in Plasma Immersion Ion Implanted Polyethylene: The Roles of Free Radicals and Oxygen-Containing Groups. Polym. Degrad. Stab. 2009, 94, 638−646. (263) Kosobrodova, E. A.; Kondyurin, A. V.; Fisher, K.; Moeller, W.; McKenzie, D. R.; Bilek, M. M. M. Free Radical Kinetics in a Plasma Immersion Ion Implanted Polystyrene: Theory and Experiment. Nucl. Instrum. Methods Phys. Res., Sect. B 2012, 280, 26−35. (264) Wakelin, E. A.; Kondyurin, A. V.; Wise, S. G.; McKenzie, D. R.; Davies, M. J.; Bilek, M. M. M. Bio-Activation of Polyether Ether Ketone Using Plasma Immersion Ion Implantation: A Kinetic Model. Plasma Processes Polym. 2015, 12, 180−193. (265) Bilek, M. M. M. Biofunctionalization of Surfaces by Energetic Ion Implantation: Review of Progress on Applications in Implantable Biomedical Devices and Antibody Microarrays. Appl. Surf. Sci. 2014, 310, 3−10. (266) Kosobrodova, E.; Kondyurin, A.; McKenzie, D. R.; Bilek, M. M. M. Kinetics of Post-Treatment Structural Transformations of Nitrogen Plasma Ion Immersion Implanted Polystyrene. Nucl. Instrum. Methods Phys. Res., Sect. B 2013, 304, 57−66. (267) Wakelin, E. A.; Davies, M. J.; Bilek, M. M. M.; McKenzie, D. R. Temperature Activated Diffusion of Radicals through Ion Implanted Polymers. ACS Appl. Mater. Interfaces 2015, 7, 26340−26345. (268) Holländer, A. Labelling Techniques for the Chemical Analysis of Polymer Surfaces. Surf. Interface Anal. 2004, 36, 1023−1026. (269) Thiry, D.; Francq, R.; Cossement, D.; Guerin, D.; Vuillaume, D.; Snyders, R. Establishment of a Derivatization Method To Quantify Thiol Function in Sulfur-Containing Plasma Polymer Films. Langmuir 2013, 29, 13183−13189. (270) Yu, Y. J.; Shen, F. W.; McKellop, H. A.; Salovey, R. Hydroperoxide Formation in Irradiated Polyethylene. J. Polym. Sci., Part A: Polym. Chem. 1999, 37, 3309−3316.

(232) Wertheimer, M. R. Plasma Processing and Polymers: A Personal Perspective. Plasma Chem. Plasma Process. 2014, 34, 363− 376. (233) Meyer-Plath, A. Identification of Surface Radicals on Polymers. Vak. Forsch. Prax. 2005, 17, 40−46. (234) Testrich, H.; Rebl, H.; Finke, B.; Hempel, F.; Nebe, B.; Meichsner, J. Aging Effects of Plasma Polymerized Ethylenediamine (PPEDA) Thin Films on Cell-Adhesive Implant Coatings. Mater. Sci. Eng., C 2013, 33, 3875−3880. (235) Gengenbach, T. R.; Vasic, Z. R.; Chatelier, R. C.; Griesser, H. J. A Multi-Technique Study of the Spontaneous Oxidation of N-Hexane Plasma Polymers. J. Polym. Sci., Part A: Polym. Chem. 1994, 32, 1399− 1414. (236) Gengenbach, T. R.; Chatelier, R. C.; Griesser, H. J. Characterization of the Ageing of Plasma-Deposited Polymer Films: Global Analysis of X-ray Photoelectron Spectroscopy Data. Surf. Interface Anal. 1996, 24, 271−281. (237) Whittle, J. D.; Short, R. D.; Douglas, C. W. I.; Davies, J. Differences in the Aging of Allyl Alcohol, Acrylic Acid, Allylamine, and Octa-1,7-diene Plasma Polymers as Studied by X-ray Photoelectron Spectroscopy. Chem. Mater. 2000, 12, 2664−2671. (238) Finke, B.; Rebl, H.; Hempel, F.; Schäfer, J.; Liefeith, K.; Weltmann, K. D.; Nebe, J. B. Aging of Plasma-Polymerized Allylamine Nanofilms and the Maintenance of their Cell Adhesion Capacity. Langmuir 2014, 30, 13914−13924. (239) Fahmy, A.; Schönhals, A.; Friedrich, J. Reaction of Water with (Radicals in) Plasma Polymerized Allyl Alcohol (and Formation of OH-Rich Polymer Layers). J. Phys. Chem. B 2013, 117, 10603−10611. (240) Yamauchi, Y.; Sasai, Y.; Kondo, S.-i.; Kuzuya, M. Chemical Diagnosis of DLC by ESR Spectral Analysis. Thin Solid Films 2010, 518, 3492−3496. (241) Wavhal, D. S.; Fisher, E. R. Membrane Surface Modification by Plasma-Induced Polymerization of Acrylamide for Improved Surface Properties and Reduced Protein Fouling. Langmuir 2003, 19, 79−85. (242) Hsu, S.; Chen, W. C. Improved Cell Adhesion by PlasmaInduced Grafting of L-Lactide onto Polyurethane Surface. Biomaterials 2000, 21, 359−367. (243) Lee, S. D.; Hsiue, G. H.; Kao, C. Y.; Chang, P. C. T. Artificial Cornea: Surface Modification of Silicone Rubber Membrane by Graft Polymerization of pHEMA via Glow Discharge. Biomaterials 1996, 17, 587−595. (244) Wilken, R.; Holländer, A.; Behnisch, J. Nitric Oxide Radical Trapping Analysis on Vacuum-Ultraviolet Treated Polymers. Macromolecules 1998, 31, 7613−7617. (245) Kuzuya, M.; Yamauchi, Y. Plasma-Induced Free Radicals of Polycrystalline Dicarbohydrates Studied by Electron Spin Resonance. Thin Solid Films 1998, 316, 158−164. (246) Kuzuya, M.; Ito, K.; Kondo, S. I.; Yamauchi, Y. Plasma-Induced Free Radicals of Polycrystalline Carbohydrates as Spin Probe for Plasma Diagnosis of Plasma Treatment. Thin Solid Films 1999, 345, 85−89. (247) Wilken, R.; Holländer, A.; Behnisch, J. Surface Radical Analysis on Plasma-Treated Polymers. Surf. Coat. Technol. 1999, 116−119, 991−995. (248) Teng, R.; Yasuda, H. K. Ex Situ Chemical Determination of Free Radicals and Peroxides on Plasma Treated Surfaces. Plasmas Polym. 2002, 7, 57−69. (249) Finke, B.; Schröder, K.; Ohl, A. Surface Radical Detection on NH3-Plasma Treated Polymer Surfaces Using the Radical Scavenger NO. Plasma Processes Polym. 2008, 5, 386−396. (250) Nuzzo, R. G.; Smolinsky, G. Preparation and Characterization of Functionalized Polyethylene Surfaces. Macromolecules 1984, 17, 1013−1019. (251) Weil, J. A.; Bolton, J. R. Electron Paramagnetic Resonance: Elementary Theory and Practical Applications, 2nd ed.; WileyInterscience: Hoboken, NJ, 2007. (252) Barriga-González, G.; Aguilera-Venegas, B.; Folch-Cano, C.; Pérez-Cruz, F.; Olea-Azar, C. Electron Spin Resonance as a Powerful 4003

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

(271) Lacoste, J.; Carlsson, D. J. Gamma-, Photo-, and ThermallyInitiated Oxidation of Linear Low Density Polyethylene: A Quantitative Comparison of Oxidation Products. J. Polym. Sci., Part A: Polym. Chem. 1992, 30, 493−500. (272) Poncin-Epaillard, F.; Chevet, B.; Brosse, J.-C. Modification of Isotactic Polypropylene by a Cold Plasma or an Electron Beam and Grafting of the Acrylic Acid onto These Activated Polymers. J. Appl. Polym. Sci. 1994, 53, 1291−1306. (273) Malec-Czechowska, K.; Strzelczak, G.; Dancewicz, A. M.; Stachowicz, W.; Delincée, H. Detection of Irradiation Treatment in Dried Mushrooms by Photostimulated Luminescence, EPR Spectroscopy and Thermoluminescence Measurements. Eur. Food Res. Technol. 2003, 216, 157−165. (274) Zheng, J.; Springston, S. R.; Weinstein-Lloyd, J. Quantitative Analysis of Hydroperoxyl Radical Using Flow Injection Analysis with Chemiluminescence Detection. Anal. Chem. 2003, 75, 4696−4700. (275) Teare, D. O. H.; Schofield, W. C. E.; Garrod, R. P.; Badyal, J. P. S. Rapid Polymer Brush Growth by TEMPO-Mediated Controlled Free-Radical Polymerization from Swollen Plasma Deposited Poly(maleic anhydride) Initiator Surfaces. Langmuir 2005, 21, 10818− 10824. (276) Muir, B. W.; Tarasova, A. UV Grafting of a Vinyl Monomer onto a Methanol Plasma Polymer. Plasma Processes Polym. 2012, 9, 884−889. (277) Khelifa, F.; Ershov, S.; Druart, M.-E.; Habibi, Y.; Chicot, D.; Olivier, M.-G.; Snyders, R.; Dubois, P. A Multilayer Coating with Optimized Properties for Corrosion Protection of Al. J. Mater. Chem. A 2015, 3, 15977−15985. (278) Chen-Yang, Y. W.; Chen, C. W.; Tseng, S. C.; Huang, J.; Wu, Y. Z. Surface Modification of Bi-Axially Expanded Poly(tetrafluoroethylene) by Plasma Polymerization of Ethylene. Surf. Coat. Technol. 2004, 176, 148−156. (279) Teare, D. O. H.; Barwick, D. C.; Schofield, W. C. E.; Garrod, R. P.; Ward, L. J.; Badyal, J. P. S. Substrate-Independent Approach for Polymer Brush Growth by Surface Atom Transfer Radical Polymerization. Langmuir 2005, 21, 11425−11430. (280) Everhart, D. S.; Reilley, C. N. Chemical Derivatization in Electron Spectroscopy for Chemical Analysis of Surface Functional Groups Introduced on Low-Density Polyethylene Film. Anal. Chem. 1981, 53, 665−676. (281) Karthik, G.; Lim, J. M.; Srinivasan, A.; Suresh, C. H.; Kim, D.; Chandrashekar, T. K. Conformational Change from a Twisted FigureEight to an Open-Extended Structure in Doubly Fused 36π CoreModified Octaphyrins Triggered by Protonation: Implication on Photodynamics and Aromaticity. Chem. - Eur. J. 2013, 19, 17011− 17020. (282) Zhou, B.; Yang, J.; Peng, C.; Zhu, J.; Tang, Y.; Zhu, X.; Shen, M.; Zhang, G.; Shi, X. PEGylated Polyethylenimine-Entrapped Gold Nanoparticles Modified with Folic Acid for Targeted Tumor CT Imaging. Colloids Surf., B 2016, 140, 489−496. (283) Chua, C. K.; Pumera, M. The Reduction of Graphene Oxide with Hydrazine: Elucidating Its Reductive Capability Based on a Reaction-Model Approach. Chem. Commun. 2016, 52, 72−75. (284) Chilkoti, A.; Ratner, B. D.; Briggs, D. Plasma-Deposited Polymeric Films Prepared from Carbonyl-Containing Volatile Precursors: XPS Chemical Derivatization and Static SIMS Surface Characterization. Chem. Mater. 1991, 3, 51−61. (285) Ruiz, J. C.; Taheri, S.; Michelmore, A.; Robinson, D. E.; Short, R. D.; Vasilev, K.; Förch, R. Approaches to Quantify Amine Groups in the Presence of Hydroxyl Functional Groups in Plasma Polymerized Thin Films. Plasma Processes Polym. 2014, 11, 888−896. (286) Kishida, A.; Mishima, K.; Corretge, E.; Konishi, H.; Ikada, Y. Interactions of Poly(ethylene glycol)-Grafted Cellulose Membranes with Proteins and Platelets. Biomaterials 1992, 13, 113−118. (287) Gregory, J. Polymers at Interfaces. Polym. Int. 1995, 36, 102− 102. (288) Kim, S. A.; Mangal, R.; Archer, L. A. Relaxation Dynamics of Nanoparticle-Tethered Polymer Chains. Macromolecules 2015, 48, 6280−6293.

(289) Mandal, J.; Ramakrishnan, S. Periodically Grafted Amphiphilic Copolymers: Effects of Steric Crowding and Reversal of Amphiphilicity. Langmuir 2015, 31, 6035−6044. (290) Martin, T. B.; Jayaraman, A. Identifying the Ideal Characteristics of the Grafted Polymer Chain Length Distribution for Maximizing Dispersion of Polymer Grafted Nanoparticles in a Polymer Matrix. Macromolecules 2013, 46, 9144−9150. (291) Martin, T. B.; Dodd, P. M.; Jayaraman, A. Polydispersity for Tuning the Potential of Mean Force between Polymer Grafted Nanoparticles in a Polymer Matrix. Phys. Rev. Lett. 2013, 110, 018301. (292) Jordan, R.; Ulman, A. Surface Initiated Living Cationic Polymerization of 2-Oxazolines. J. Am. Chem. Soc. 1998, 120, 243− 247. (293) Jordan, R.; West, N.; Ulman, A.; Chou, Y.-M.; Nuyken, O. Nanocomposites by Surface-Initiated Living Cationic Polymerization of 2-Oxazolines on Functionalized Gold Nanoparticles. Macromolecules 2001, 34, 1606−1611. (294) Ciftci, M.; Kork, S.; Xu, G.; Buchmeiser, M. R.; Yagci, Y. Polyethylene-g-poly(cyclohexene oxide) by Mechanistic Transformation from Romp to Visible Light-Induced Free Radical Promoted Cationic Polymerization. Macromolecules 2015, 48, 1658−1663. (295) Doran, S.; Yilmaz, G.; Yagci, Y. Tandem Photoinduced Cationic Polymerization and CuAAC for Macromolecular Synthesis. Macromolecules 2015, 48, 7446−7452. (296) He, B.; Zhou, L. Efficient Tailoring of the Surface of Upconversion Nanoparticles via Surface-Initiated Cationic RingOpening Polymerization. RSC Adv. 2015, 5, 97764−97772. (297) Nagata, K.; Kawahara, T.; Hashimoto, K.; Fujiki, K.; Tamesue, S.; Yamauchi, T.; Tsubokawa, N. Grafting of Polymers onto Graphene Oxide by Cationic and Anionic Polymerization Initiated by the Surface-Initiating Groups. Compos. Interfaces 2015, 22, 25−37. (298) Pranantyo, D.; Xu, L. Q.; Neoh, K. G.; Kang, E. T.; Ng, Y. X.; Teo, S. L. M. Tea Stains-Inspired Initiator Primer for Surface Grafting of Antifouling and Antimicrobial Polymer Brush Coatings. Biomacromolecules 2015, 16, 723−732. (299) Jordan, R.; Ulman, A.; Kang, J. F.; Rafailovich, M. H.; Sokolov, J. Surface-Initiated Anionic Polymerization of Styrene by Means of Self-Assembled Monolayers. J. Am. Chem. Soc. 1999, 121, 1016−1022. (300) Zhou, Q.; Wang, S.; Fan, X.; Advincula, R.; Mays, J. Living Anionic Surface-Initiated Polymerization (LASIP) of a Polymer on Silica Nanoparticles. Langmuir 2002, 18, 3324−3331. (301) Das, S.; Chatterjee, D. P.; Ghosh, R.; Nandi, A. K. Water Soluble Polythiophenes: Preparation and Applications. RSC Adv. 2015, 5, 20160−20177. (302) Hong, L.; Yang, S.; He, J. Molecular Engineering of Branched Polymers through 1,1-Diphenyl-ethylene Chemistry and Anionic Polymerization. Eur. Polym. J. 2015, 65, 171−190. (303) Ito, S.; Ishizone, T.; Hirao, A. Precise Synthesis of New Exactly Defined Graft Copolymers Made up of Poly(alkyl methacrylate)s by Iterative Methodology Using Living Anionic Polymerization. Macromolecules 2015, 48, 8307−8314. (304) Hyun, J.; Chilkoti, A. Surface-Initiated Free Radical Polymerization of Polystyrene Micropatterns on a Self-Assembled Monolayer on Gold. Macromolecules 2001, 34, 5644−5652. (305) Pilate, J.; Renoirt, J. M.; Caucheteur, C.; Raquez, J. M.; Meyer, F.; Mégret, P.; Dubois, P.; Damman, P. Tilted Fiber Bragg Gratings as a New Sensing Device for in Situ and Real Time Monitoring of Surface-Initiated Polymerization. Polym. Chem. 2014, 5, 2506−2512. (306) Coad, B. R.; Bilgic, T.; Klok, H. A. Polymer Brush Gradients Grafted from Plasma-Polymerized Surfaces. Langmuir 2014, 30, 8357− 8365. (307) Ç elik, G.; Barsbay, M.; Güven, O. Towards New Proton Exchange Membrane Materials with Enhanced Performance via RAFT Polymerization. Polym. Chem. 2016, 7, 701−714. (308) Luo, Y. L.; Bai, R. X.; Xu, F.; Chen, Y. S.; Li, H.; Dai, S. S.; Ma, W. B. Novel Multiwalled Carbon Nanotube Grafted with Polyethylene Glycol-Block-Polystyrene Nanohybrids: ATRP Synthesis and Detection of Benzene Vapor. J. Mater. Sci. 2016, 51, 1363−1375. 4004

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005

Chemical Reviews

Review

(309) Xu, B.; Gu, G.; Feng, C.; Jiang, X.; Hu, J.; Lu, G.; Zhang, S.; Huang, X. (PAA-g-PS)-co-PPEGMEMA Asymmetric Polymer Brushes: Synthesis, Self-Assembly, and Encapsulating Capacity for Both Hydrophobic and Hydrophilic Agents. Polym. Chem. 2016, 7, 613−624. (310) Song, Y.; Wu, S.; Jing, X.; Sun, J.; Chen, D. Thermal, Mechanical and Ionic Conductive Behaviour of Gamma-Radiation Induced PEO/PVDF(SIN)- LiClO4 Polymer Electrolyte System. Radiat. Phys. Chem. 1997, 49, 541−546. (311) Hou, J.; Shi, Q.; Stagnaro, P.; Ye, W.; Jin, J.; Conzatti, L.; Yin, J. Aqueous-Based Immobilization of Initiator and Surface-Initiated ATRP to Construct Hemocompatible Surface of Poly(styrene-b(ethylene-co-butylene)-b-styrene) Elastomer. Colloids Surf., B 2013, 111, 333−341. (312) Kumru, B.; Gure, B.; Bicak, N. Regio-Selective Peroxybromination of Poly(vinyl methyl ketone) as Versatile Tool for Generation Active ATRP Initiation Sites on Solid Surfaces. J. Polym. Sci., Part A: Polym. Chem. 2013, 51, 3892−3900. (313) Gao, Z.; Wang, F.; Chang, J.; Wu, D.; Wang, X.; Wang, X.; Xu, F.; Gao, S.; Jiang, K. Chemically Grafted Graphene-Polyaniline Composite for Application in Supercapacitor. Electrochim. Acta 2014, 133, 325−334. (314) Reuther, J. F.; Bhatt, M. P.; Tian, G.; Batchelor, B. L.; Campos, R.; Novak, B. M. Controlled Living Polymerization of Carbodiimides Using Versatile, Air-Stable Nickel(II) Initiators: Facile Incorporation of Helical, Rod-Like Materials. Macromolecules 2014, 47, 4587−4595. (315) Yamagami, T.; Kitayama, Y.; Okubo, M. Preparation of Stimuli-Responsive ″Mushroom-Like″ Janus Polymer Particles as Particulate Surfactant by Site-Selective Surface-Initiated AGET ATRP in Aqueous Dispersed Systems. Langmuir 2014, 30, 7823− 7832. (316) Zheng, X.-m.; Du, L.-y.; Ma, Y.-h.; Liu, L.-y.; Yang, W.-t. Photo-Induced Synthesis of PVC Macroinitiators and Preparation of Amphiphilic Graft Copolymers. Gaofenzi Xuebao (Acta Polym. Sin.) 2014, 014 (2), 248−254. (317) Guo, Q.; Wang, Y.; Fan, Y.; Liu, X.; Ren, S.; Wen, Y.; Shen, B. Synthesis and Characterization of Multi-Active Site Grafting Starch Copolymer Initiated by KMnO 4 and HIO4/H2 SO4 Systems. Carbohydr. Polym. 2015, 117, 247−254. (318) Bilek, M. M. M.; Bax, D. V.; Kondyurin, A.; Yin, Y.; Nosworthy, N. J.; Fisher, K.; Waterhouse, A.; Weiss, A. S.; Dos Remedios, C. G.; McKenzie, D. R. Free Radical Functionalization of Surfaces to Prevent Adverse Responses to Biomedical Devices. Proc. Natl. Acad. Sci. U. S. A. 2011, 108, 14405−14410. (319) Hirsh, S. L.; Bilek, M. M. M.; Nosworthy, N. J.; Kondyurin, A.; Dos Remedios, C. G.; McKenzie, D. R. A Comparison of Covalent Immobilization and Physical Adsorption of A Cellulase Enzyme Mixture. Langmuir 2010, 26, 14380−14388. (320) Jafari, R.; Asadollahi, S.; Farzaneh, M. Applications of Plasma Technology in Development of Superhydrophobic Surfaces. Plasma Chem. Plasma Process. 2013, 33, 177−200. (321) Ohno, K.; Tabata, H.; Tsujii, Y. Surface-Initiated Living Radical Polymerization from Silica Particles Functionalized with Poly(ethylene glycol)-Carrying Initiator. Colloid Polym. Sci. 2013, 291, 127−135. (322) Abednejad, A. S.; Amoabediny, G.; Ghaee, A. Surface Modification of Polypropylene Membrane by Polyethylene Glycol Graft Polymerization. Mater. Sci. Eng., C 2014, 42, 443−450. (323) Ellinas, K.; Pujari, S. P.; Dragatogiannis, D. A.; Charitidis, C. A.; Tserepi, A.; Zuilhof, H.; Gogolides, E. Plasma Micro-Nanotextured, Scratch, Water and Hexadecane Resistant, Superhydrophobic, and Superamphiphobic Polymeric Surfaces with Perfluorinated Monolayers. ACS Appl. Mater. Interfaces 2014, 6, 6510−6524. (324) Hegemann, D.; Hanselmann, B.; Blanchard, N.; Amberg, M. Plasma-Substrate Interaction During Plasma Deposition on Polymers. Contrib. Plasma Phys. 2014, 54, 162−169. (325) Mai, J.; Wang, L. Reaction Mechanism of Suspension Graft Copolymerization of Styrene and Acrylonitrile in the Presence of Ethylene Propylene Diene Terpolymer. Polym. Chem. 2014, 5, 2118− 2129.

(326) Kochkodan, V.; Hilal, N. A Comprehensive Review on Surface Modified Polymer Membranes for Biofouling Mitigation. Desalination 2015, 356, 187−207. (327) Couturaud, B.; Bondia, A. M.; Faye, C.; Garrelly, L.; Mas, A.; Robin, J. J. Grafting of Poly-L-Lysine Dendrigrafts onto Polypropylene Surface Using Plasma Activation for ATP ImmobilizationNanomaterial for Potential Applications in Biotechnology. J. Colloid Interface Sci. 2013, 408, 242−251. (328) Farag, Z. R.; Friedrich, J. F.; Krüger, S.; Hidde, G.; Moustapha, M. E. Adhesion Promotion of Thick Polyphosphate-Poly(allylamine) Films onto Polyolefin Substrates by Plasma Polymers. J. Adhes. Sci. Technol. 2016, 30, 231−246. (329) Kolská, Z.; Ř ezníčková, A.; Nagyová, M.; Slepičková Kasálková, N.; Sajdl, P.; Slepička, P.; Švorčík, V. Plasma Activated Polymers Grafted With Cysteamine Improving Surfaces Cytocompatibility. Polym. Degrad. Stab. 2014, 101, 1−9. (330) Ramanujam, M.; Mix, R.; Wagner, M.; Friedrich, J. F. Effect of Br Gassing After Ar Plasma Treatment of Polyolefins. J. Adhes. Sci. Technol. 2013, 27, 1828−1839. (331) Sasai, Y.; Mishima, D.; Rikihisa, T.; Kondo, S. I.; Yamauchi, Y.; Kuzuya, M. Preparation of Enzyme-Immobilized Filter Paper Using Plasma Surface Treatment. J. Photopolym. Sci. Technol. 2013, 26, 559− 562. (332) Castelvetro, V.; Fatarella, E.; Corsi, L.; Giaiacopi, S.; Ciardelli, G. Graft Polymerisation of Functional Acrylic Monomers onto Cotton Fibres Activated by Continuous Ar Plasma. Plasma Processes Polym. 2006, 3, 48−57. (333) Hsiue, G.-H.; Lee, S.-D.; Wang, C.-C.; Shiue, M. H.-I.; Chang, P. C.-T. Plasma-Induced Graft Copolymerization of HEMA onto Silicone Rubber and TPX Film Improving Rabbit Corneal Epithelial Cell Attachment and Growth. Biomaterials 1994, 15, 163−171. (334) Wavhal, D. S.; Fisher, E. R. Hydrophilic Modification of Polyethersulfone Membranes by Low Temperature Plasma-Induced Graft Polymerization. J. Membr. Sci. 2002, 209, 255−269. (335) Tu, C.-Y.; Liu, Y.-L.; Lee, K.-R.; Lai, J.-Y. Surface Grafting Polymerization and Modification on Poly(tetrafluoroethylene) Films by Means of Ozone Treatment. Polymer 2005, 46, 6976−6985. (336) Peroval, C.; Debeaufort, F.; Seuvre, A. M.; Cayot, P.; Chevet, B.; Despré, D.; Voilley, A. Modified Arabinoxylan-Based Films: Grafting of Functional Acrylates by Oxygen Plasma and Electron Beam Irradiation. J. Membr. Sci. 2004, 233, 129−139. (337) Zhang, M. C.; Kang, E. T.; Neoh, K. G.; Tan, K. L. Consecutive Graft Copolymerization of Glycidyl Methacrylate and Aniline on Poly(tetrafluoroethylene) Films. Langmuir 2000, 16, 9666− 9672. (338) Thiry, D.; Konstantinidis, S.; Cornil, C.; Snyders, R. Plasma diagnostics for the low-pressure plasma polymerization process: A critical review. Thin Solid Films 2016, DOI: 10.1016/j.tsf.2016.02.058.

4005

DOI: 10.1021/acs.chemrev.5b00634 Chem. Rev. 2016, 116, 3975−4005