Grapho-Epitaxial Alignment ... - ACS Publications

Nov 23, 2016 - Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538, United States. §. Department of Chemistry, The University o...
1 downloads 0 Views 3MB Size
Subscriber access provided by UB + Fachbibliothek Chemie | (FU-Bibliothekssystem)

Article

A hybrid chemo-/grapho- epitaxial alignment strategy for defect reduction in sub-10 nm directed self-assembly of silicon-containing block copolymers Gregory Blachut, Stephen M. Sirard, Michael J. Maher, Yusuke Asano, Yasunobu Someya, Austin P. Lane, William J. Durand, Christopher M. Bates, Andrew M. Dinhobl, Roel Gronheid, Diane Hymes, Christopher J Ellison, and C. Grant Willson Chem. Mater., Just Accepted Manuscript • DOI: 10.1021/acs.chemmater.6b03633 • Publication Date (Web): 23 Nov 2016 Downloaded from http://pubs.acs.org on November 29, 2016

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

Chemistry of Materials is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 35

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

1

A hybrid chemo-/grapho- epitaxial alignment strategy for defect reduction in sub-10 nm directed self-assembly of silicon-containing block copolymers Gregory Blachut1, Stephen M. Sirard2, Michael J. Maher3, Yusuke Asano3, Yasunobu Someya3, Austin P. Lane1, William J. Durand1, Christopher M. Bates4,5, Andrew M. Dinhobl1, Roel Gronheid6, Diane Hymes2, Christopher J. Ellison1,3, C. Grant Willson1,3*

1

McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712, United States

2

Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538, United States

3

Department of Chemistry, The University of Texas at Austin, Austin, Texas 78712, United States

4

Materials Department and 5Department of Chemical Engineering, University of California, Santa Barbara, Santa Barbara, CA 93106. 6

imec, Kapeldreef 75, 3001 Heverlee, Belgium

*to whom correspondence should be addressed: [email protected]

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 35

2 ABSTRACT The directed self-assembly (DSA) of a 20 nm full pitch silicon-containing block copolymer (BCP), poly(4methoxystyrene-b-4-trimethylsilylstyrene), was performed using a process that produces shallow topography for hybrid chemo-/grapho- epitaxy. This hybrid process produced DSA with fewer defects than the analogous conventional chemo-epitaxial process, and the resulting DSA was also more tolerant of variations in process parameters. Cross-sectional scanning transmission electron microscopy (STEM) with electron energy loss spectroscopy (EELS) confirmed that BCP features spanned the entire film thickness on hybrid process wafers. Both processes were implemented on 300 mm wafers initially prepatterned by 193 nm immersion lithography, which is necessary for economic viability in high volume manufacturing. Computational analysis of DSA extracted from top-down SEM images demonstrates the influence of process parameters on DSA, facilitating the optimization of guide stripe width, guide stripe pitch, and pre-pattern surface energy. This work demonstrates the ability of a hybrid process to improve the DSA quality over a conventional chemo-epitaxial process and the potential for high volume manufacturing with high-χ, silicon-containing BCPs.

ACS Paragon Plus Environment

Page 3 of 35

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

3 The continual improvement of electronic devices necessitates technological innovations to advance integrated circuit manufacturing processes. The photolithographic patterning techniques used in high volume manufacturing (HVM) have reached their resolution limitations at approximately 80 nm full pitch.1 Unfortunately, higher resolution photolithographic methodologies are not yet economically tractable, and so-called self-aligned double and quadruple patterning strategies that extend the resolution of photolithography increase the complexity and cost of fabrication as the feature size decreases.2 Block copolymers (BCP) have emerged as potentially viable complementary patterning materials that may help to overcome contemporary lithographic limitations. The self-assembly of BCPs into periodic domains (e.g., line-space patterns derived from lamellae) on the nanometer length scale, coupled with density multiplication using pre-pattern templates defined by photolithography, has the potential to significantly reduce fabrication costs compared to self-aligned multiplication processes.3 To date, most progress in BCP lithography for line-space patterning has exploited readily available poly(styrene-b-methyl methacrylate) (PS-b-PMMA),4–11 but PS-b-PMMA faces a practical resolution limit of approximately 22 nm full pitch due to its relatively modest interaction parameter (χ).12 Since the integration of BCP lithography into HVM is contingent on scalability down to 20 nm and below, BCPs with higher χ than PS-b-PMMA are clearly a prerequisite for commercialization.13 Silicon-containing BCPs provide two lithographic advantages relative to PS-b-PMMA. First, the hydrophobic organo-silicon moieties impart high χ-values when paired with a hydrophilic block, generating features well below 20 nm full pitch.14–18 Second, silicon is more resistant than organic molecules to a variety of oxidizing and reducing reactive ion etching plasmas,19,20 facilitating the production of high aspect ratio features without requiring unnecessary process steps beyond those already utilized.21–23 The trade-off introduced by incorporating silicon into one block is free surface segregation induced by surface energy minimization,24,25 forming a silicon wetting layer that drives the orientation of BCP domains parallel to the substrate and ultimately producing lithographically useless

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 35

4 structures. This limitation can be avoided by using top coats to functionalize the top surface, enabling control of domain orientation for styrenic and methacrylic silicon-containing and tin-containing BCPs.26– 28

Periodicities well below 20 nm can be obtained using only thin film deposition and baking processes

currently employed in HVM. BCPs are aligned into device-relevant structures for lithography by processes known collectively as directed self-assembly (DSA), and are classified as chemo-epitaxy9,10 if the guiding force is largely surface energy driven or grapho-epitaxy29 if the guiding force is largely topographic. Recently, “hybrid” DSA schemes combining shallow topography with chemically patterned regions have emerged.30–32 Compared to pure chemo-epitaxy, the extra topographic confining force in a hybrid process can potentially lower defects by increasing the guiding strength of the pre-pattern. Importantly, unlike in traditional grapho-epitaxy, a hybrid process does this without sacrificing feature density since the prepattern is shallower than the BCP features. The DSA of the aforementioned styrenic silicon-containing BCPs has been demonstrated with chemo-epitaxial, grapho-epitaxial, and hybrid processes,30,33 but these laboratory-scale experiments are not suitable for HVM. Process compatibility with 193 nm immersion lithography (193i) exposure tools and all-track processing is critical to achieving the wafer throughput needed for HVM.34 Imec (Leuven, Be) has the cleanroom infrastructure required to integrate DSA into HVM-compatible processes, as demonstrated by the successful implementation of multiple PSb-PMMA based processes with 193i patterning and all-track wafer processing.35,36 The learning from laboratory-scale DSA demonstrations of silicon-containing BCPs can be extrapolated to provide a roadmap for compatibilizing the established PS-b-PMMA HVM processes for these higher χ materials. Herein, we describe the creation of a hybrid chemo-/grapho- epitaxial process on HVM equipment at imec and compare its ability to guide a 20 nm full pitch (L0) styrene-based siliconcontaining BCP, poly(4-methoxystyrene-b-4-trimethylsilylstyrene) (PMOST-b-PTMSS), with a conventional chemo-epitaxial process. The 20 nm L0 BCP is approximately 30 % smaller than imec’s 28

ACS Paragon Plus Environment

Page 5 of 35

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

5 nm process of record for PS-b-PMMA DSA37 and approximately 10 % smaller than the practical 22 nm limit of PS-b-PMMA, but importantly, 4x and 5x guideline pre-patterns for the 20 nm pitch BCP (80 and 100 nm full pitch, respectively) are still within the resolution capabilities of 193i. Successful DSA was achieved with 4x and 5x density multiplication of the initial pre-pattern pitch for both processes, but the defectivity in the hybrid process was lower. In addition, a methodology that enables quantification of DSA quality from micrographs by a computational program was developed that enabled extraction of DSA-affecting trends. Optimized process parameters are reported. Experimental Materials. All materials were electronic-grade. Cross-linkable polystyrene (XPS) (AZEMBLY NLD-128) was provided by Merck Performance Materials. AIM5484 photoresist was purchased from JSR Micro. RER 600 was purchased from Fujifilm. Orgasolv 301 was purchased from BASF. Synthesis. All DSA materials were demetallized and formulated by Nissan Chemical Company. PMOST-bPTMSS was synthesized by anionic polymerization as previously described.21 Top coat was synthesized as previously described.27 Cross-linkable poly(4-methoxystyrene) (XPMOST) was prepared by conventional free radical polymerization by heating 4-methoxystyrene with benzocyclobutane styrene in the presence of 2,2’-azobis(2-methylpropionitrile) (AIBN) (97:3:1 mole ratio) in 33% w/v tetrahydrofuran (THF) at 65 °C for 24 hours before cooling with ice. The product was precipitated into methanol, filtered, and dried. Hydroxyl-terminated PS-r-PtBuS brush was synthesized using nitroxide-mediated living free radical polymerization.38,39 Styrene and 4-tert-butylstyrene monomer were mixed in various proportions with a hydroxyl-containing unimer (approximately 150:1 monomer:initiator) but without additional solvent, and the solution was bubbled with nitrogen for 30 minutes. The solution was heated for 17 hours at 125 °C. The gel was reconstituted with sonication in THF and precipitated into methanol. Isolated yields were approximately 65%. Scheme S1 shows the brush synthesis, and characterization data appears in Table 1.

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 35

6 Process. Samples were prepared on commercial 300 mm wafer handling equipment at imec as previously described.40,41 Briefly, Si wafers with 13 nm of silicon nitride as an antireflective coating were coated with 8 nm of XPS mat or 8 or 19 nm of XPMOST mat and annealed at 315 °C for 5 min under nitrogen to cross-link. Wafers were coated with commercial AIM5484 resist and exposed by an ASML 1950 or 1970 193i scanner, typically with dose stripes. After development, resist features were trim etched in a Lam Research Kiyo® conductor etch chamber. Resist was stripped with Orgasolv in a TEL ACT12 track. Hydroxyl-terminated brush (1% w/v propylene glycol monomethyl ether acetate, 1500 RPM, ~ 35 nm thick film) was manually spincoated in the same ACT12 track. The brush was annealed under nitrogen purge at 250 °C (in a hotplate located inside of the track) and then ungrafted brush was stripped by RER 600 organic solvent. PMOST-b-PTMSS (formulated in methyl isobutyl ketone) was manually spincoated. Different concentrations were used to achieve different film thicknesses: 1.0 wt. % (1600 RPM = 40 nm, 1300 RPM = 44 nm), 0.75 wt. % (1500 RPM = 33 nm, 900 RPM = 39 nm), and 0.55 wt. % (1250 RPM = 24 nm, 900 RPM = 28 nm). Top coat in the form of a ring-opened trimethylamine salt (0.5% w/v methanol, 1500 RPM, ~19 nm thick film) was then manually spincoated directly on top of the BCP. The wafer was then annealed at 205 °C for 5 minutes, also under nitrogen purge on a hotplate inside of the track. The wafer was then etched in a Lam Research Flex™ dielectric etch chamber.

Inspection and statistical method. SEM inspection was performed by Hitachi Hi-Tech CG4000 and CG5000 CD-SEMs. Micrographs were typically taken at 40 to 80 thousand magnification. To thoroughly sample the different fields of a wafer produced by separate serial exposures of 193i, the CD-SEM was programmed to image every unique field at multiple locations corresponding to different pre-pattern pitches (typically only 79 and 98 nm, corresponding to 4x and 5x density multiplication, respectively) and nominal pre-pattern guide stripe width (the actual final guide stripe width in a given location, a key parameter for DSA, was determined by a combination of the exposure

ACS Paragon Plus Environment

Page 7 of 35

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

7 dose, the nominal mask dimensions at that location, and the extent of trim etch, controlled spatially across the wafer). An in-house image analysis program was used to score the degree of DSA in a CD-SEM image. A program recursively opened up the images in a standard Hitachi Hi-Tech CD-SEM output directory and processed them individually as follows. The raw SEM images were converted to black and white, and afterwards each pixel was recolored (“blurred”) by averaging the color of neighboring pixels, weighted by proximity, in the vertical direction. The number of pixels remaining above a brightness threshold or below a darkness threshold after the blur, indicating pixels ostensibly participating in DSA, were tallied up and the score was normalized to the number of pixels in the image (typically 5122). The condition file corresponding to the SEM image was opened, and the (x,y) chip coordinate of the image was extracted. The scores were saved to a file in a two-dimensional array corresponding to the image’s location on the wafer, and the array was tab-delimited to be readily imported into Microsoft Excel. The scores on a wafer were then separated into groups, based on the pre-pattern pitch (readily determined by the inspection protocol) and whether the guide stripe pinned 0.5 L0 of BCP or 1.5 L0 of BCP (which was inferred from the guide stripe width). The guide stripe width itself was a major contributor to DSA quality (as is discussed further on in the manuscript) so a typical wafer, patterned with a dose stripe, exhibited a significant variation in DSA quality field-to-field solely due to the guide stripe variation. Rather than potentially bias the results by handpicking which fields to compare, all the scores believed to correspond to either 0.5 L0 or 1.5 L0 pinning were grouped together, and then summary statistics were performed on the top 20 % of the scores to summarize the average “best” result on the wafer for that specific condition. Although 20 % was an arbitrary threshold, in practice this value produced a conservative estimate of the best results on the wafer. Outliers were removed by recursively comparing the maximum and minimum values to the third quartile plus 1.5 times the interquartile range or the first quartile minus 1.5 times the interquartile range, respectively.

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 8 of 35

8

General metrology. Small-angle x-ray scattering (SAXS) measurements were collected using a Molecular Metrology instrument, with a rotating copper anode source (Kα radiation, λ = 1.5418 Å) and a twodimensional 120 mm gas filled multiwire detector. Calibration was performed with silver behenate with its primary diffraction peak set at 5.838 nm. Gel permeation chromatography (GPC) measurements were performed using a Viscotek VE 2001 triple-detector system, with THF as the eluent at 1 mL min-1. Film thicknesses were measured with a KLA Tencor Aleris 8330 spectroscopic ellipsometer. Crosssectional STEM with EELS mapping services were provided by Nanolab Technologies, CA. Samples were cross-sectioned by focused ion beam milling, and then imaged with a FEI Tecnai TF30 STEM (200 keV) and a Gatan GIF quantum SE EELS system.

Results and Discussion Qualitative analysis of DSA results Description of pre-patterning processes Figure 1A shows the polymer materials introduced to imec for the study and Figure 1B shows the hybrid process used to make pre-patterns with shallow topography. Characterization information for the ~20 nm BCP appears in Table S1. The hybrid process is an adaptation of imec’s chemo-epitaxial “LiNe” process for PS-b-PMMA,6,7 where the polymer materials (guide stripe and brush) have been changed to better match PMOST-b-PTMSS and the guide stripe is coated thicker to produce the shallow topography. As seen in Figure 1B, a “mat” of cross-linkable poly(4-methoxystyrene) (XPMOST) was spincoated at approximately 19 nm and cross-linked by thermal annealing. This cross-linked mat was then coated with a positive-tone resist, exposed with a commercial 193i scanner, and developed. The wafer was then reactive ion etched to shrink the width of the resist (“trim” step) and to remove the mat in the regions not covered by resist (“breakthrough” step). Stripping the resist yielded thin lines of cross-

ACS Paragon Plus Environment

Page 9 of 35

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

9 linked mat that later became the guide stripes. A hydroxyl-terminated “brush” polymer (a random copolymer of poly(styrene) (PS) and poly(4-tert-butylstyrene) (PtBuS)) was then spin coated and grafted via thermal annealing to the oxide exposed by the breakthrough etch. Since the brush components wet opposite blocks of the BCP (PS wets poly(4-methoxystyrene) (PMOST) and PtBuS wets poly(4trimethylsilylstyrene) (PTMSS)), the affinity of the brush for either BCP block was adjusted by changing the brush composition. Ungrafted brush was stripped with organic solvent to yield the hybrid prepattern. The performance of the hybrid process pattern was compared to a similarly prepared chemoepitaxy process. To make the chemo-epitaxy pattern, the mat material was coated at only approximately 8 nm (versus 19 nm) to produce an essentially flat pre-pattern after processing, as shown in Figure 1C (the thickness of the backfilled brush was approximately the same as the mat thickness, 7 to 8 nm by ellipsometry). In addition to XPMOST, cross-linkable polystyrene (XPS) was auditioned as a guide stripe material in the chemo-epitaxial process. Both XPMOST and XPS wet the PMOST block of the BCP but with different affinities, so DSA differences due to guide stripe pinning strength on DSA could be assessed. Beyond the changes in guide stripe thickness and chemistry, the subsequent steps of crosslinking, patterning, etching, and depositing brush in the chemo-epitaxial process are otherwise identical to the hybrid process. In both processes, the film stack was completed by sequentially spincoating the BCP and the top coat on top of the pre-pattern. (The top coat balances the surface energy mismatch at the BCP top interface and enables perpendicular features.)27 The wafer was then heated to anneal the BCP, and then etched a second time to remove the top coat and the sacrificial PMOST block for imaging. The resulting patterns were inspected by SEM.

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 35

10

Figure 1. (A) The polymeric materials used in the present study. (B) Schematic of the hybrid process used to create a chemical pre-pattern with shallow topography. (C) Depiction of the corresponding prepattern surface produced by the chemo-epitaxy process.

Overview of DSA results DSA with 4x and 5x density multiplication of PMOST-b-PTMSS was successful via both processes, but the hybrid process produced higher quality DSA than the chemo-epitaxial process. As shown in Figures 2A and 2B, the chemo-epitaxial process produced DSA with more defects than the hybrid process in Figure 2C, regardless of guide stripe chemistry. All three images in Figure 2 show DSA after the optimization of pre-pattern pitch, guide stripe width, brush composition, and BCP film thickness

ACS Paragon Plus Environment

Page 11 of 35

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

11 separately for each process (a summary of these conditions appears in Table S.2 in the SI, and discussion of the optimization of the process parameters follows later in the manuscript). Despite the lower defectivity in the hybrid process versus the chemo-epitaxial process, the defectivity for this 20 nm silicon-containing BCP is much higher than imec’s 28 nm PS-b-PMMA process,37 and determining the contributors of defectivity in this system is an ongoing area of research.

Figure 2. Representative images of DSA under optimized conditions using (A) chemo-epitaxial process with XPS guide stripe (B) chemo-epitaxial process with XPMOST guide stripe (C) hybrid process with 19 nm tall XPMOST guide stripe. Scale bar is valid for all images.

Cross-section analysis of BCP structure in hybrid process Cross-section STEM with electron energy loss spectroscopy (EELS) was used to study the orientation of BCP in the hybrid DSA scheme. BCPs can adopt complex and non-uniform morphologies in thin films, regardless of features observed in top-down SEM.6 Multiple guide stripe widths were

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 35

12 analyzed to understand the influence of pre-pattern characteristics on the resulting through-film BCP structures. Figure 3 shows STEM EELS cross-sections of the BCP film stack at three guide stripe widths with corresponding illustrations of the proposed chain conformation that maintain the pattern fidelity discernable from the EELS images, offering insight into the key interactions that govern DSA. The green or blue vertical segments in each EELS image map silicon and oxygen, respectively, and correspond to the PTMSS block (the PTMSS block is significantly oxidized during processing, and image preparation differences caused oxygen to be displayed over silicon in the PTMSS domains in Figure 3C, as will be explained shortly). The color magenta maps carbon and corresponds to several different carbonaceous regions in the film: the vertical magenta domains between the green or blue domains correspond to the PMOST block, the magenta horizontal stripe on top of the BCP domains is the top coat, the thinner magenta horizontal line under the BCP corresponds to the brush layer, and the magenta trapezoids correspond to the guide stripes. The silicon substrate (green) and the metal oxide capping layers (blue) used in sample preparation are also seen at the very bottom and top of the images, respectively. Although the EELS images capture only a small portion of the DSA section, STEM micrographs with wider field-of-views show the relative uniformity of the BCP conformation upon the guide stripe (Figure S1).

ACS Paragon Plus Environment

Page 13 of 35

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

13 Figure 3. Summary of cross-section STEM study of BCP on tall guide stripes at different guide stripe widths (WGS, measured by CD-SEM): (A) 0.5 L0 (B) 1.0 L0 (C) 1.5 L0.(Top) STEM EELS mapping of crosssection of unetched BCP film stacks. The legend in the top right corner describes the elemental mapping scheme for all three EELS images. Scale bar is valid for every image. (Bottom) Schematic of the proposed BCP domain orientation on guide stripes corresponding to different quantization of the BCPs natural periodicity, along with depictions of the anticipated BCP chain configuration. As shown in the legend, blue domains correspond to the PMOST block and red domains to the PTMSS.

In Figures 3A, 3B, and 3C, the guide stripes pin a different number of BCP domains, demonstrating three different DSA regimes. Going from Figure 3A to Figure 3C, the guide stripe width increases from 13 to 18 to 28 nm (circa 0.5 to 1.0 to 1.5 L0), which corresponds roughly to one, two, and three BCP domains situated on top of the guide stripe, respectively, as seen in the EELS images and illustrations. Importantly, the BCP domains in each image travel vertically through the film and no complex 3D morphologies are found, however the tapered, wedge-shaped PTMSS domains seen in Figures 3A and 3C and the short PTMSS domains situated on top of the guide stripes in Figures 3B and 3C are sub-optimal. The ordering of PTMSS domains over the angled sidewall of the trapezoidal guide stripe causes domain shape distortion. Modifying the DSA scheme to order PMOST on the sidewall would mitigate this effect. Due to the PMOST pinning nature of the XPMOST guide stripe, enthalpy minima are realized when one PMOST block sits on the guide stripe at width = 0.5 L0 and two PMOST domains and one PTMSS domain sit on the guide stripe at width = 1.5 L0. Importantly, this places the PMOST to PTMSS intramolecular interface at the guide stripe edge, with the PTMSS domain directly over the sloped sidewall. Changing the guide stripe chemistry to be PTMSS preferential, pinning one PTMSS domain when guide stripe width = 0.5 L0 or two PTMSS domains and one PMOST domain when width = 1.5 L0, places a PMOST block on either sidewall of the guide stripe and prevents PTMSS domain distortion. Wedge shaped domains or other distortions are tolerable for PMOST since PMOST is not the block used as a hard mask. The difference in height of the PTMSS domains, however, is an inescapable consequence of the shallow topography. While height non-uniformity complicates subsequent pattern

ACS Paragon Plus Environment

Chemistry of Materials

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 35

14 transfer steps, the uniform structures present in the top half of the film offer a potential route for isolating viable mask features. Figure S2 shows very uniform features after a partial etch, corroborating this idea. Wedge shaped PTMSS domains are absent in Figure 3B which indicates a fundamental difference in the conformation of the BCP when guide stripe width = 1.0 L0. The immediate difference is that the intermolecular boundary of two PMOST blocks- and not the intramolecular block-block interface- is now situated at the edge of the guide stripe (Figure 3B). At first glance, the opposite situation where PMOST is the component in the center of the guide stripe, flanked by two PTMSS domains (versus the observed result, where PTMSS is the component in the middle of the guide stripe, surrounded by PMOST domains), is equally valid from an enthalpic standpoint, since in both cases a total of one domain of PTMSS and one domain of PMOST sit on top of the guide stripe. Ostensibly, the guide stripe sidewall, oxidized during the trim etch, produces the key pinning force to anchor the polar PMOST block and induces the BCP conformation seen in Figure 3B. The net effect is that PTMSS domains do not form the same wedge shape seen when guide stripe width = 0.5 or 1.5 L0. Careful inspection reveals the possibility of curvature in the PTMSS domain sitting on top of the guide stripe in the EELS image in Figure 3B, which could be attributed to a PMOST wetting layer forming underneath the block to minimize unfavorable enthalpic interactions with the guide stripe. To minimize wetting ambiguities, in the case of 1 L0 pre-patterns, the guide stripe should be changed to be perfectly neutral for the BCP. Since one full domain of both PMOST and PTMSS sit on top of the guide stripe, a neutral guide stripe’s interfacial contribution to the overall free energy should be lower. Despite the location of the PTMSS domains directly over the sidewall region, it is anticipated that the sidewalls also pin the PMOST block when width = 0.5 or 1.5 L0 due to the unfavorable enthalpic interactions of the oxidized sidewall with the hydrophobic PTMSS block. To accomplish this, the PMOST domains must “spill-over” the guide stripe, as illustrated in Figures 3A and 3C, further exacerbating the

ACS Paragon Plus Environment

Page 15 of 35

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Chemistry of Materials

15 sub-optimal wedge shape of the PTMSS domains. Again, switching the wetting behavior of the guide stripe to PTMSS wetting should decrease the domain distortion. Although the EELS analysis produces an elemental map of the micrograph, care must be taken not to interpret the images as literal density maps of the BCP components. The color of each pixel does not represent the average of the signals from each of the three elements (O, C, and Si) but rather is set by the operator by layering the EELS maps of individual elements one on top of the other. This rational explains the blue color of the PTMSS domains in Figure 3C (versus the green color in Figures 3A and 3B): the oxygen was layered in front of the silicon when creating the final image. This rational also explains why the green or blue PTMSS blocks appear to be significantly larger than the magenta PMOST blocks in the EELS images. In reality, the PMOST volume percent is very close to 50 % (the exact value was determined to be 47 % by a combination of 1H-NMR and density measurements of the homopolymers, shown in Table S1),21 but from the EELS images the PMOST fraction appears to be far less, at only approximately 30 – 40 %. Carbon (magenta) was layered behind the other elements to create a more informative image (both BCP blocks contain carbon but only one block contains silicon). Evidently, the somewhat diffuse interface between the BCP components results in a small amount of silicon being detected far beyond the anticipated midpoint between the two blocks, and this silicon signal, when placed over the carbon layer, artificially increases the apparent size of the silicon domain. Sidewall-guided DSA The role of the oxidized sidewall in DSA is corroborated by two recent developments. Cushen et al. report defect-free DSA of PMOST-b-PTMSS over a large area using guide stripes approximately 1.0 L0 wide.30 DSA was achieved using solely XPS for the guide stripe and PS for the backfill brush, subject to the constraints of shallow topography (brush height