Molecular Tailoring of Poly(styrene-b-methyl methacrylate) Block

of Chemical Engineering, Pusan National University, Kumjeong-ku, Busan 46241, Republic of Korea. ACS Macro Lett. , 2017, 6 (12), pp 1386–1391. D...
5 downloads 13 Views 8MB Size
Letter Cite This: ACS Macro Lett. 2017, 6, 1386−1391

pubs.acs.org/macroletters

Molecular Tailoring of Poly(styrene‑b‑methyl methacrylate) Block Copolymer Toward Perpendicularly Oriented Nanodomains with Sub-10 nm Features Sanghoon Woo,† Seongjun Jo,‡ Du Yeol Ryu,‡ Soo-Hyung Choi,§ Youngson Choe,∥ Anzar Khan,† June Huh,*,† and Joona Bang*,† †

Department Department § Department ∥ Department ‡

of of of of

Chemical Chemical Chemical Chemical

and Biological Engineering, Korea University, Seoul 02841, Republic of Korea and Biomolecular Engineering, Yonsei University, Seoul, 03722, Republic of Korea Engineering, Hongik University, Seoul, 04066, Republic of Korea Engineering, Pusan National University, Kumjeong-ku, Busan 46241, Republic of Korea

S Supporting Information *

ABSTRACT: We demonstrate a novel approach for fabricating vertically orientated, sub-10 nm, block copolymer (BCP) nanodomains on a substrate via molecular tailoring of poly(styrene-bmethyl methacrylate) (PS-b-PMMA) BCP, one of the most widely used BCPs for nanopatterning. The idea is to incorporate a short middle block of self-attracting poly(methacrylic acid) (PMAA) between the PS and PMMA blocks, where the PMAA middle block promotes phase separation between PS and PMMA, while maintaining the domain orientation perpendicular to the substrate. The designed PS-b-PMAA-b-PMMA triblock copolymers, which were synthesized via well-controlled anionic polymerization, exhibited order−disorder transition temperatures higher than that of pristine PS-b-PMMA BCPs, indicating the promotion of phase separation by the middle PMAA block. For PS-b-PMAA-b-PMMA BCPs with total molecular weights of 21 and 18 kg/mol, the domain spacing corresponds to 19.3 and 16.7 nm, respectively, allowing us to fabricate sub-10 nm nanodomain structures. More importantly, it was demonstrated that the PMAA middle block, which has a higher surface energy than PS and PMMA, does not significantly alter lateral concentration fluctuations, which are responsible for phase-separation in the lateral direction. This enabled the vertical orientation of microdomains with sub-10 nm feature size on a PS-r-PMMA neutral surface without an additional neutral top layer. We anticipate that this approach provides an important platform for next-generation lithography and nanopatterning applications that require sub-10 nm features over large areas with simple process and reduced cost.

B

material for BCP lithography is limited on many occasions by an additional requirement for BCP films, which is that the two bounding surfaces (the underlying substrate and the top, free surface) should be neutral to both blocks of the BCP (i.e., ΔγS = γAS − γBS = 0, where γAS (or γBS) is the interfacial tension between the A (or B) block and the bounding surface) in order to orient pattern features perpendicular to the bounding surfaces. These requirements pose a difficult task for finding an appropriate BCP lithographic system because most polymer pairs with high χ also have high ΔγS.16−18 Currently, the most widely used process for BCP lithography is based on poly(styrene-b-methyl methacrylate) (PS-b-PMMA) films, where the surface neutrality at the air-bound, free surface and at the substrate (with a coated neutral layer) is readily achieved.19−23 However, the feature size of the PS-b-PMMA

lock copolymer (BCP) nanolithography, a process where BCP self-assembly is used to pattern nanoscale features which are then transferred to an appropriate material, can replace or complement conventional photolithography, the processes of which have become increasingly expensive and difficult, particularly at a feature size less than 10 nm.1−14 The basic strategy of BCP nanolithography, for reducing feature size, is based on the phase behavior of the BCP, which is dictated by two major molecular parameters: the degree of polymerization of the BCP (N) and the interaction parameter between the dissimilar constituent monomers of the BCP (χ). BCPs phase-separate to form crystal-like, periodic domains when the product χN (=h), a measure for the degree of incompatibility between two blocks, exceeds a certain critical value (typically on the order of 10). The pitch of the periodic structure (L0) scales as L0 ∼ h1/6N1/2 when h ≫ 10.15 This indicates that decreasing N is the only practical option for reducing feature size (corresponding to the half pitch, L0/2), suggesting that χ should be high enough to ensure phase separation of BCPs having small N. In addition, the choice of © XXXX American Chemical Society

Received: November 1, 2017 Accepted: November 27, 2017

1386

DOI: 10.1021/acsmacrolett.7b00856 ACS Macro Lett. 2017, 6, 1386−1391

Letter

ACS Macro Letters Scheme 1. Synthesis of PS-b-PMAA-b-PMMA TriBCP via Anionic Polymerization

system does not scale down below 11 nm due to the weak χ between the styrene and methyl methacrylate monomers.23,24 Some alternative BCP systems, which satisfy both the high χ and the surface neutrality conditions, have been demonstrated either by introducing nonatmospheric neutral surfaces, such as solvent vapor4,25,26 or a top-coat surface,18,27 or by synthesizing novel BCPs that have high χ and surface neutrality in the atmospheric environment.7−14 Despite these approaches providing strategic guidelines for sub-10 nm BCP lithography, the selectable BCP systems are limited to a few systems due to the difficulty in fulfilling the aforementioned requirement. The present work suggests a new strategy for designing a sub-10 nm BCP system, which basically employs a PS/PMMAbased triblock copolymer (triBCP) with the introduction of a short, phase-separation-promoting middle block that can have a surface/interfacial energy higher than the terminal PS and PMMA blocks at the bounding surfaces of the BCP film. Here, we stress that the middle block as a key component, which should have high χ either with the terminal PS block, the other terminal PMMA block, or both, does not necessarily have to satisfy the surface neutrality condition by having surface/ interfacial energies balanced with PS and PMMA. Rather, the strategy extends the selection criteria for the middle block to species with surface/interface energies higher than the two terminal blocks, which equally cover the bounding surfaces, thus, enabling a larger variety of BCP systems capable of forming perpendicularly oriented domain structures. As an attempt to exemplify this novel class of BCP systems, we synthesized a triBCP system with the choice of poly(methacrylic acid) (PMAA) as a middle block between the terminal PS and PMMA blocks. It was found, in this rationally designed triBCP film, that hydrogen bonding-induced selfattraction (or equivalently high-surface energy)28 of the PMAA blocks promoted demixing between PS and PMMA in the lateral direction, exhibiting perpendicularly oriented nanodomains with feature sizes down to 8.1 nm. Two sets of symmetric PS-b-PMAA-b-PMMA (denoted by SHM) triBCPs were synthesized by anionic polymerization,29 where the total molecular weights, Mns, of the triBCPs were controlled to 21.3 and 17.5 kg/mol. Since the PMAA block cannot be directly incorporated during the synthesis step, poly(tert-butyl methacrylate) (PtBMA) was added as a middle

block for later deprotection of the tert-butyl group. As shown in Scheme 1, the PS block was synthesized first, then the short PtBMA middle block and the PMMA block were sequentially added (Supporting Information, Figure S1). The PtBMA middle block was converted to the PMAA block by thermolysis and a subsequent hydrolysis reaction. First, the thermolysis of PtBMA resulted in the formation of poly(methacrylic anhydride) (PMAN), as monitored by 1H NMR and Fouriertransform infrared (FT-IR) spectroscopy. The removal of the tert-butyl group in PtBMA was confirmed by disappearance of the 1H NMR peak at δ = 1.4 ppm, while these protons overlap with the methylene resonances in PS backbone (Supporting Information, Figure S2). From the FT-IR spectra, this reaction was also monitored by the stretching modes of the ester linkage in the anhydride group at 1761 and 1806 cm−1 and a decrease in the C−H bending of tert-butyl group at 1367 cm−1 (this peak overlaps with the bending of methyl group in PMMA; Supporting Information, Figure S3). The anhydride group in the PMAN block was then hydrolyzed to a fully protonated acid group, leading to the PMAA block. This step was observed by a disappearance of the peaks from the ester linkage in the anhydride and the appearance of a small, broad peak at 2500− 3500 cm−1, which is due to the vibration mode of the hydroxyl group in the PMAA block.30−32 During the thermolysis of PtBMA block, it has been reported that the side reaction such as the formation of isobutyric intermolecular anhydride structures can also take place.33 However, we did not observe these cross-links from the GPC traces before and after deprotection of PtBMA block (Supporting Information, Figure S4). This is most likely due to the small content of PMAA block (7−8 units per chain) and the intermolecular reaction is further suppressed by steric hindrance by longer PS and PMMA blocks. To confirm the full conversion of tert-butyl group, we measured the weight loss from thermogravimetric analysis (TGA) during the thermolysis of PtBMA block. In this case, the weight loss after thermolysis corresponds to 2.1% and 3.1% for 21 kg/mol and 18 kg/mol PS-b-PtBMA-b-PMMA triBCPs, respectively (Supporting Information, Figure S5), which is consistent with the calculated values for full deprotection of tert-butyl group in PtBMA block. As a result, the Mns of the resulting PMAA block were calculated to be 0.6−0.7 kg/mol. To assess the segregation strength of the SHM 1387

DOI: 10.1021/acsmacrolett.7b00856 ACS Macro Lett. 2017, 6, 1386−1391

Letter

ACS Macro Letters

for SM21. The detailed description for the determination of χ and the used molecular parameters were documented in Supporting Information (Table S1 and Figure S7). The phase-separation tendencies of these BCPs were further investigated by examining the order−disorder transition temperature, TODT, from SAXS and depolarized light scattering (DPLS). As shown in Figure 2, the lamellar morphologies in SHM21-0.6 and SHM18-0.7 persist up to above 200 °C, whereas SM21 exhibits a disordered state over the entire temperature range. The TODTs from the SAXS measurements were 267.5 °C for SHM21-0.6 and 245 °C for SHM18-0.7, which were in good agreement with the DPLS measurements (Supporting Information, Figure S8). Similar, but slightly lower TODTs, were observed for the anhydride-SHMs (Supporting Information, Figures S8 and S9). These measurements indicate that the phase behaviors and segregation strength of the SHM triBCPs before and after hydrolysis are quite similar, despite the difference in chemical structure, and suggest that both PMAA and PMAN effectively strengthen the weak repulsion between the terminal PS and PMMA, thus, promoting phase-separation between them. It is worth noting that the PMAA can undergo a cyclodehydration reaction at above 190 °C, resulting in the formation of PMAN.35 Since the TODTs of all BCP samples were observed above 190 °C, we examined the degree of cyclodehydration for PMAA homopolymer using TGA at either isothermal (180, 200, 220, and 260 °C) or gradual heating conditions (same as TODT measurement from SAXS). As a result, the weight loss was estimated as less than ∼2.0 wt % compared to the theoretical value of 10.46 wt % for full conversion. Thus, less than 20% of the PMAA would be dehydrated under our experimental conditions (Supporting Information, Figure S10). The phase behavior of the SHM triBCP, which depends on three possible pairwise interaction parameters, was analyzed theoretically by random phase approximation (RPA)34,36,37 and self-consistent field theory (SCFT).38,39 The RPA analyses, using SAXS measurements for the SM, MH, and SHM BCP samples, estimated the three binary interaction parameters and their temperature-dependences: χSM = 0.0365 + 4.36/T, χSH = −0.141 + 205.9/T, and χMH = 0.0472 + 10.56/T (Supporting Information, Figure S7). The subscripts SM, SH, and MH for χ represent the monomer pairs permuted from styrene (S), methacrylic acid (H), and methyl methacrylate (M), and T is the thermodynamic temperature. In this case, the interaction

BCPs, a neat, symmetric PS-b-PMMA (denoted by SM) BCP was also synthesized as a control sample with Mn = 20.8 kg/ mol, which is well below the minimum Mn (∼28 kg/mol) of a symmetric PS-b-PMMA exhibiting phase separation.34 Molecular characteristics of the investigated BCP samples and their sample codes are summarized in Table 1. Table 1. Sample Characteristics of PS-b-PMMA, PS-bPMAA-b-PMMA, and PMMA-b-PMAA BCPs Mn (kg/mol) sample name

PS

SM21 SHM21-0.6 SHM18-0.7 MH14-5.1d

10.4 10.5 8.6

PtBMAb PMAAc PMMAb 1.0 1.2

0.6 0.7 5.1

10.4 10.2 8.2 8.5

total Mn, Đa (kg/ mol, -) 20.8, 21.3, 17.5, 13.1,

1.06 1.08 1.07 1.10

Determined by GPC (eluent: THF 1 mL/min at 40 °C, standard: PS). bDetermined by 1H NMR analysis. cCalculated from Mn of PtBMA. dPurchased from Polymer Source Inc. a

To compare the segregation behaviors between the SHM and SM BCPs, the bulk morphologies were characterized by transmission electron microscopy (TEM) and small-angle X-ray scattering (SAXS). The powder samples were filled in a diskshaped brass mold and annealed at 180 °C for at least 1 day. For SHM21-0.6 and SHM18-0.7, lamellar morphologies were clearly observed in the TEM images, exhibiting the distinct contrast between bright PMMA and dark PS microdomains (Figure 1a,b), and from the Bragg reflections at q/q* = 1:2:3 in the SAXS traces (Figure 1c). The L0s of SHM21-0.6 and SHM18-0.7 were estimated from the first order reflections at q* = 0.3258 nm−1 and 0.3769 nm−1, corresponding to L0 = 19.3 and 16.7 nm, respectively. We also examined the morphology of the triBCP samples before hydrolysis, which are denoted as anhydride-SHM21-0.6 and anhydride-SHM18-0.7. Interestingly, these samples also exhibited well-ordered lamellar morphologies with nearly the same domain spacing of L0 = 19.1 and 16.5 nm, respectively (Supporting Information, Figure S6). In contrast, SM21 BCP sample shows no phase-separated morphology as evident from SAXS profile in Figure 1c. This is also expected from the estimated value of χN (= 9.4 at 180 °C) lower than the critical value of 10.5 where we used N = 204 and χ = 0.0365 + 4.36/T obtained from fitting of SAXS results

Figure 1. Cross-sectional TEM images of (a) SHM21-0.6 and (b) SHM18-0.7. (c) SAXS traces of SM21 (bottom), SHM21-0.6 (middle), and SHM18-0.7 (top). 1388

DOI: 10.1021/acsmacrolett.7b00856 ACS Macro Lett. 2017, 6, 1386−1391

Letter

ACS Macro Letters

Figure 2. SAXS intensity profiles of (a) SM21, (b) SHM21-0.6, and (c) SHM18-0.7 with increasing temperature. Insets correspond to the plots of inverse scattering intensity (Im−1) as a function of T−1 for (b) SHM21-0.6 and (c) SHM18-0.7.

parameter between styrene and methacrylic acid is considerably larger than the other two parameters. For instance, the interaction parameters estimated at 180 °C are given as χSM = 0.046, χSH = 0.314, and χMH = 0.071, revealing that the promotion of phase-separation in the case of the SHM triBCP is driven largely by a strong, repulsive interaction between styrene and methacrylic acid. It is also worth noting that a similar effect was observed in other BCPs, in which χeff was increased by the addition of small molecules via hydrogen bonding or introduction of an ionic unit at the junction of the two blocks.40,41 The monomer density profiles, which were computed for SM21 and SHM21-0.6 at 180 °C using SCFT, also confirm that phase-separation occurs for SHM21-0.6, whereas SM21 remains homogeneous under the same thermodynamic condition (Figure 3). As a last, but most important aspect, we examined the thin film behaviors of the SHM21-0.6 and SHM18-0.7 triBCPs. The SHM thin films, with thicknesses ∼1 L0, were prepared on silicon substrates. The surface of the substrates was neutralized with hydroxyl-terminated PS-r-PMMA random copolymers. The PS contents in the PS-r-PMMA were controlled at 20 mol %, 40 mol %, and 60 mol % in order to optimize the neutral condition for the SHM triBCPs. The films were thermally annealed at 150 °C for 10 h and the PMMA block was selectively removed by reactive ion etching with oxygen and argon gas. From the top-view SEM images and grazing incidence small-angle X-ray scattering (GI-SAXS) profiles in Figure 4, the films exhibit well-ordered nanopatterns with lamellar microdomains oriented perpendicular to the substrates. The domain spacing of the SHM21-0.6 and SHM18-0.7 thin films are 18.6 and 16.2 nm, respectively, consistent with those of bulk samples and corresponding to the sub-10 nm nanopatterns with a feature size of 9.3 and 8.1 nm, respectively. As to the neutral condition of the SHM triBCPs, it was found that better ordering of the lamellae was observed on the PS-rPMMA neutral layer with 20 mol % and 40 mol % PS, while some defects were present on the 60 mol % PS-r-PMMA neutral layer (Supporting Information, Figures S11 and S12). This can be attributed to the PMAA middle block, which changes the neutral condition toward more hydrophilic than the pristine PS-b-PMMA BCPs, possibly revealing high

Figure 3. SCFT-computed volume fraction profiles of different monomer types for (a) SM21 and for (b) SHM21-0.6 at 180 °C (χSM = 0.046, χSH = 0.314, and χMH = 0.071). In each plot, the position r is rescaled the radius of gyration (RG) of the BCP. In (b), the direction of r is chosen to be the direction perpendicular to the lamellar interface. Black lines represent the PS block, dashed lines represent the PMMA block, and dotted lines represents the PMAA block.

1389

DOI: 10.1021/acsmacrolett.7b00856 ACS Macro Lett. 2017, 6, 1386−1391

Letter

ACS Macro Letters

Figure 4. Top-view SEM images of (a) SHM21-0.6 and (b) SHM18-0.7 thin films on the PS-r-PMMA neutral layer with 20 mol % PS. (c) GI-SAXS intensity profiles of SHM21-0.6 (bottom) and SHM18-0.7 (top). The incidence angle is 0.14°.



asymmetry between χSH and χMH. It is noteworthy that the perpendicular lamellar orientation was attained without additional top coating despite the surface energy imbalance42 between the middle PMAA block and the terminal blocks. In this case, the top layer, covered with lower-surface energy PS and PMMA blocks, assists the formation of a PS/PMMA interface perpendicular to the film direction. In summary, we designed a new triBCP system that can access sub-10 nm feature size via the introduction of a short PMAA block at the junction of PS and PMMA. The hydrophilic PMAA block was selected as a middle block for promoting the phase-separation between the PS and PMMA blocks as well as preventing its surface coverage. The resulting SHM triBCPs exhibited promoted phase separation as compared to conventional PS-b-PMMA diBCPs, as demonstrated from the TODT measurements. As a remarkable feature, vertically oriented lamellar domain structures with the minimum feature size down to 8.1 nm in SHM thin films was demonstrated on the neutral surfaces, which apparently overcome the size limit accessible from conventional PS-b-PMMA BCP system. With further optimization of the processing details, we believe that this system can be an attractive candidate for advanced nanopatterning applications. In parallel, this novel class of BCP systems can be used in other applications, such as continuous hydrophilic nanochannels in fuel cells, functional porous templates, and so on.



ACKNOWLEDGMENTS This work was supported by Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT & Future Planning (No. 2015R1A2A2A01006008) and also by the Global Frontier R&D Program (No. 2013M3A6B1078869) on Center for Hybrid Interface Materials (HIM) funded by the Ministry of Science, ICT & Future Planning. Experiments at PLS-II were supported in part by MSIP and POSTECH.



(1) Bang, J.; Jeong, U.; Ryu, D. Y.; Russell, T. P.; Hawker, C. J. Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns. Adv. Mater. 2009, 21, 4769−4792. (2) Jeong, J. W.; Park, W. I.; Kim, M.-J.; Ross, C. A.; Jung, Y. S. Highly Tunable Self-Assembled Nanostructures from a Poly(2vinylpyridine-b-dimethylsiloxane) Block Copolymer. Nano Lett. 2011, 11, 4095−4101. (3) Cushen, J. D.; Otsuka, I.; Bates, C. M.; Halila, S.; Fort, S.; Rochas, C.; Easley, J. A.; Rausch, E. L.; Thio, A.; Borsali, R.; Willson, C. G.; Ellison, C. J. Oligosaccharide/Silicon-Containing Block Copolymers with 5 nm Features for Lithographic Applications. ACS Nano 2012, 6, 3424−3433. (4) Kennemur, J. G.; Yao, L.; Bates, F. S.; Hillmyer, M. A. Sub-5 nm Domains in Ordered Poly(cyclohexylethylene)-block-poly(methyl methacrylate) Block Polymers for Lithography. Macromolecules 2014, 47, 1411−1418. (5) Sinturel, C.; Bates, F. S.; Hillmyer, M. A. High χ-Low N Block Polymers: How Far Can We Go? ACS Macro Lett. 2015, 4, 1044− 1050. (6) Durand, W. J.; Blachut, G.; Maher, M. J.; Sirard, S.; Tein, S.; Carlson, M. C.; Asano, Y.; Zhou, S. X.; Lane, A. P.; Bates, C. M.; Ellison, C. J.; Willson, C. G. Design of High-χ Block Copolymers for Lithography. J. Polym. Sci., Part A: Polym. Chem. 2015, 53, 344−352. (7) Aissou, K.; Mumtaz, M.; Fleury, G.; Portale, G.; Navarro, C.; Cloutet, E.; Brochon, C.; Ross, C. A.; Hadziioannou, G. Sub-10 nm Features Obtained from Directed Self-Assembly of Semicrystalline Polycarbosilane-Based Block Copolymer Thin Films. Adv. Mater. 2015, 27, 261−265. (8) Vora, A.; Wojtecki, R. J.; Schmidt, K.; Chunder, A.; Cheng, J. Y.; Nelson, A.; Sanders, D. P. Development of Polycarbonate-Containing Block Copolymers for Thin Film Self-Assembly Applications. Polym. Chem. 2016, 7, 940−950. (9) Giammaria, T. J.; Lupi, F. F.; Seguini, G.; Perego, M.; Vita, F.; Francescangeli, O.; Wenning, B.; Ober, C. K.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M. Micrometer-Scale Ordering of SiliconContaining Block Copolymer Thin Films via High-Temperature Thermal Treatments. ACS Appl. Mater. Interfaces 2016, 8, 9897−9908.

ASSOCIATED CONTENT

S Supporting Information *

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsmacrolett.7b00856. Experimental details and supporting figures (PDF).



REFERENCES

AUTHOR INFORMATION

Corresponding Authors

*E-mail: [email protected]. *E-mail: [email protected]. ORCID

Youngson Choe: 0000-0001-9536-113X Joona Bang: 0000-0002-2301-6190 Notes

The authors declare no competing financial interest. 1390

DOI: 10.1021/acsmacrolett.7b00856 ACS Macro Lett. 2017, 6, 1386−1391

Letter

ACS Macro Letters (10) Seshimo, T.; Maeda, R.; Odashima, R.; Takenaka, Y.; Kawana, D.; Ohmori, K.; Hayakawa, T. Perpendicularly Oriented Sub-10-nm Block Copolymer Lamellae by Atmospheric Thermal Annealing for One Minute. Sci. Rep. 2016, 6, 19481. (11) Zhou, S. X.; Janes, D. W.; Kim, C. B.; Willson, C. G.; Ellison, C. J. Designing Intrablock Attractions To Increase the χ Parameter of a Symmetric Diblock Copolymer. Macromolecules 2016, 49, 8332−8340. (12) Nakatani, R.; Takano, H.; Chandra, A.; Yoshimura, Y.; Wang, L.; Suzuki, Y.; Tanaka, Y.; Maeda, R.; Kihara, N.; Minegishi, S.; Miyagi, K.; Kasahara, Y.; Sato, H.; Seino, Y.; Azuma, T.; Yokoyama, H.; Ober, C. K.; Hayakawa, T. Perpendicular Orientation Control without Interfacial Treatment of RAFT-Synthesized High-χ Block Copolymer Thin Films with Sub-10 nm Features Prepared via Thermal Annealing. ACS Appl. Mater. Interfaces 2017, 9, 31266−31278. (13) Otsuka, I.; Nilsson, N.; Suyatin, D. B.; Maximov, I.; Borsali, R. Carbohydrate-Based Block Copolymer Systems: Directed SelfAssembly for Nanolithography Applications. Soft Matter 2017, 13, 7406−7411. (14) Yang, G.-W.; Wu, G.-P.; Chen, X.; Xiong, S.; Arges, C. G.; Ji, S.; Nealey, P. F.; Lu, X.-B.; Darensbourg, D. J.; Xu, Z.-K. Directed SelfAssembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography. Nano Lett. 2017, 17, 1233−1239. (15) Matsen, M. W.; Bates, F. S. Unifying Weak- and StrongSegregation Block Copolymer Theories. Macromolecules 1996, 29, 1091−1098. (16) Ji, S.; Liu, C.-C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Generalization of the Use of Random Copolymers To Control the Wetting Behavior of Block Copolymer Films. Macromolecules 2008, 41, 9098−9103. (17) Wan, L.; Yang, X. Directed Self-Assembly of Cylinder-Forming Block Copolymers: Prepatterning Effect on Pattern Quality and Density Multiplication Factor. Langmuir 2009, 25, 12408−12413. (18) Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. PolaritySwitching Top Coats Enable Orientation of Sub-10-nm Block Copolymer Domains. Science 2012, 338, 775−779. (19) Stoykovich, M. P.; Müller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures. Science 2005, 308, 1442−1446. (20) Ham, S.; Shin, C.; Kim, E.; Ryu, D. Y.; Jeong, U.; Russell, T. P.; Hawker, C. J. Microdomain Orientation of PS-b-PMMA by Controlled Interfacial Interactions. Macromolecules 2008, 41, 6431−6437. (21) Albert, J. N. L.; Epps, T. H., III Self-Assembly of Block Copolymer Thin Films. Mater. Today 2010, 13, 24−33. (22) Liu, C.-C.; Han, E.; Onses, M. S.; Thode, C. J.; Ji, S.; Gopalan, P.; Nealey, P. F. Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats. Macromolecules 2011, 44, 1876− 1885. (23) Wan, L.; Ruiz, R.; Gao, H.; Patel, K. C.; Albrecht, T. R.; Yin, J.; Kim, J.; Cao, Y.; Lin, G. The Limits of Lamellae-Forming PS-b-PMMA Block Copolymers for Lithography. ACS Nano 2015, 9, 7506−7514. (24) Anastasiadis, S. H.; Russell, T. P.; Satija, S. K.; Majkrzak, C. F. Neutron Reflectivity Studies of the Surface-Induced Ordering of Diblock Copolymer Films. Phys. Rev. Lett. 1989, 62, 1852−1855. (25) Son, J. G.; Gotrik, K. W.; Ross, C. A. High-Aspect-Ratio Perpendicular Orientation of PS-b-PDMS Thin Films under Solvent Annealing. ACS Macro Lett. 2012, 1, 1279−1284. (26) Xiong, S.; Wan, L.; Ishida, Y.; Chapuis, Y.-A.; Craig, G. S.; Ruiz, R.; Nealey, P. F. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing. ACS Nano 2016, 10, 7855−7865. (27) Maher, M. J.; Rettner, C. T.; Bates, C. M.; Blachut, G.; Carlson, M. C.; Durand, W. J.; Ellison, C. J.; Sanders, D. P.; Cheng, J. Y.; Willson, C. G. Directed Self-Assembly of Silicon-Containing Block Copolymer Thin Films. ACS Appl. Mater. Interfaces 2015, 7, 3323− 3328.

(28) Huang, C.-F.; Chang, F.-C. Comparison of Hydrogen Bonding Interaction between PMMA/PMAA Blends and PMMA-co-PMAA Copolymers. Polymer 2003, 44, 2965−2974. (29) Guégan, P.; Cernohous, J. J.; Khandpur, A. K.; Hoye, T. R.; Macosko, C. W. Anionic Synthesis, Modification, and Characterization of ABC Triblock Copolymers. Macromolecules 1996, 29, 4605−4612. (30) La, Y.-H.; Edwards, E. W.; Park, S.-M.; Nealey, P. F. Directed Assembly of Cylinder-Forming Block Copolymer Films and Thermochemically Induced Cylinder to Sphere Transition: A Hierarchical Route to Linear Arrays of Nanodots. Nano Lett. 2005, 5, 1379−1384. (31) Xu, C.; Fu, X.; Fryd, M.; Xu, S.; Wayland, B. B.; Winey, K. I.; Composto, R. J. Reversible Stimuli-Responsive Nanostructures Assembled from Amphiphilic Block Copolymers. Nano Lett. 2006, 6, 282−287. (32) La, Y.-H.; Stoykovich, M. P.; Park, S.-M.; Nealey, P. F. Directed Assembly of Cylinder-Forming Block Copolymers into Patterned Structures to Fabricate Arrays of Spherical Domains and Nanoparticles. Chem. Mater. 2007, 19, 4538−4544. (33) Grant, D. H.; Grassie, N. The Thermal Decomposition of Polymethacrylic Acid. Polymer 1960, 1, 125−134. (34) Zhao, Y.; Sivaniah, E.; Hashimoto, T. SAXS Analysis of the Order-Disorder Transition and the Interaction Parameter of Polystyrene-block-poly(methyl methacrylate). Macromolecules 2008, 41, 9948−9951. (35) Krul’, L. P.; Yakimtsova, L. B.; Egorova, E. L.; Matusevich, Y. I.; Selevich, K. A.; Kurtikova, A. L. Preparation and Thermal Degradation of Methyl Methacrylate-Methacrylic Acid Copolymers. Russ. J. Appl. Chem. 2009, 82, 1636−1643. (36) Leibler, L. Theory of Microphase Separation in Block Copolymers. Macromolecules 1980, 13, 1602−1617. (37) Werner, A.; Fredrickson, G. H. Architectural Effects on the Stability Limits of ABC Block Copolymers. J. Polym. Sci., Part B: Polym. Phys. 1997, 35, 849−864. (38) Drolet, F.; Fredrickson, G. H. Combinatorial Screening of Complex Block Copolymer Assembly with Self-Consistent Field Theory. Phys. Rev. Lett. 1999, 83, 4317−4320. (39) Tang, P.; Qiu, F.; Zhang, H.; Yang, Y. Morphology and Phase Diagram of Complex Block Copolymers: ABC Linear Triblock Copolymers. Phys. Rev. E 2004, 69, 031803. (40) Luo, Y.; Montarnal, D.; Treat, N. J.; Hustad, P. D.; Christianson, M. D.; Kramer, E. J.; Fredrickson, G. H.; Hawker, C. J. Enhanced Block Copolymer Phase Separation Using Click Chemistry and Ionic Junctions. ACS Macro Lett. 2015, 4, 1332−1336. (41) Luo, Y.; Kim, B.; Montarnal, D.; Mester, Z.; Pester, C. W.; McGrath, A. J.; Hill, G.; Kramer, E. J.; Fredrickson, G. H.; Hawker, C. J. Improved Self-Assembly of Poly(dimethylsiloxane-b-ethylene oxide) using a Hydrogen-Bonding Additive. J. Polym. Sci., Part A: Polym. Chem. 2016, 54, 2200−2208. (42) Due to the lack of experimental reports, we compared the computed surface tensions of PS (γPS), PMMA (γPMMA), and PMAA (γPMAA) using a group contribution method by Fedors, which gives γPS = 41.1 dyn/cm, γPMMA = 41.1 dyn/cm, and γPMAA = 50.3 dyn/cm.

1391

DOI: 10.1021/acsmacrolett.7b00856 ACS Macro Lett. 2017, 6, 1386−1391