Multiparameter and Parallel Optimization of ReaxFF Reactive Force

Dec 1, 2017 - In this study, we aim to develop a ReaxFF reactive force field for simulating the reaction mechanism of copper atomic layer deposition (...
0 downloads 0 Views 2MB Size
Subscriber access provided by READING UNIV

Article

Multi-Parameter and Parallel Optimization of ReaxFF Reactive Force Field for Modeling the Atomic Layer Deposition of Copper Xiao Hu, Jörg Schuster, and Stefan E. Schulz J. Phys. Chem. C, Just Accepted Manuscript • DOI: 10.1021/acs.jpcc.7b09948 • Publication Date (Web): 01 Dec 2017 Downloaded from http://pubs.acs.org on December 5, 2017

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

The Journal of Physical Chemistry C is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

Multi-parameter and Parallel Optimization of ReaxFF Reactive Force Field for Modeling the Atomic Layer Deposition of Copper Xiao Hua*, Jörg Schusterb*, and Stefan E. Schulza,b a

Center for Microtechnologies, Chemnitz University of Technology, 09126 Chemnitz, Germany

b

Fraunhofer Institute for Electronic Nano Systems, 09126 Chemnitz, Germany

ABSTRACT In this study, we aim to develop a ReaxFF reactive force field for simulating the reaction mechanism of copper atomic layer deposition (ALD). To achieve this, we optimized the Cu/C, Cu/H, and Cu/N parameters of ReaxFF and extended the existing Cu potential to describe Cu/C/H/O/N interactions involved in Cu ALD. The parametrization procedure was implemented through an efficient multiparameter and parallel optimization scheme based on the Taguchi method. Using the newly developed Cu potential, we performed reactive molecular dynamics (RMD) simulations on an “abbreviated” ALD cycle using a [Cu(iPr-amd)]2 (iPr-amd = N,N′-di-isopropylacetamidinate) or Cu(dmap)2 (dmap = dimethylamino-2-propoxide) precursor with the H radical as a co-reactant. In the first half-cycle, the [Cu(iPr-amd)]2 precursor is found to adsorb dissociatively on the Cu surface as Cu(iPr-amd) monomers. During the second half-cycle, H radicals partly eliminate precursor fragments to the gasphase, but some intermediates like C5H12N2 and C2H4N remain on the surface and may become a source of contamination. On the other hand, the Cu(dmap)2 precursor dissociates into Cu(dmap) and dmap on the Cu surface. The second half-cycle is initiated through a hydrogen transfer reaction, which completely eliminates the dmap ligands to the gas-phase. In general, our RMD simulations suggest that the surface chemistry of Cu(dmap)2 during the ALD is simpler and cleaner than that of [Cu(iPramd)]2.

INTRODUCTION Copper films are of technical interest in microelectronics, where they are the workhorse material for low-resistivity interconnects. Conventional damascene technology for fabricating Cu interconnects necessitates thin Cu seed layers to enable the subsequent Cu filling by electrochemical deposition (ECD).1 It is crucial that these seed layers are deposited conformally and smoothly on vias and trenches, ensuring that ECD films are free of voids. With the continuous scaling down of interconnect features, atomic layer deposition (ALD) is becoming increasingly attractive for fabricating the Cu seed layers, because of its excellent conformality and precise thickness control.2 An ALD process consists of cycles involving sequential pulses of the precursor and co-reactant, which react with a surface to deposit desired materials and to form volatile by-products.3,4 Depending upon the co-reactant used, the growth of Cu thin films can be realized via either direct or indirect ALD

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

routes.5-7 Commonly, reducing agents such as molecular hydrogen (H2) are straightforwardly used in a direct Cu ALD approach.8 However, the major limitation for H2 is its low reactivity due to a strong H– H bond. As a consequence, the H2-based ALD processes require high processing temperatures (≥ 200 °C), which may cause the agglomeration of copper.2 A promising alternative to traditional reducing agents are organometallic compounds, which were first demonstrated by Lee et al.9 who obtained conformal and low resistivity Cu thin films by ALD using Cu(dmap)2 (dmap = dimethylamino-2propoxide) and diethylzinc at 100–120 °C. However, subsequent studies revealed that there was a significant incorporation of Zn impurities (8–15%) in the Cu films.10 To realize the deposition of high purity Cu thin films at low temperatures, one effective strategy is to use plasma-assisted ALD, which introduces highly reactive H radicals to facilitate the surface reaction.11 Moon et al.12 reported the plasma-assisted ALD of Cu seed layers using Cu(dmamb)2 (dmamb = dimethylamino-2-methyl-2butoxide) as a Cu precursor. High purity (≥ 95%) and low resistivity Cu thin films were obtained through self-limiting surface reactions within the ALD window of 100–180 °C.12 Hagen et al.13 investigated the growth of Cu thin films by plasma-assisted ALD using the Cu precursor CTA-1, a Cu(II) aminoalkoxide compound similar to Cu(dmap)2 and Cu(dmamb)2. The relatively high vapor pressure of CTA-1 allowed deposition temperatures down to as low as 30 °C. More recent, Guo et al.14 explored a low-temperature Cu ALD process employing [Cu(iPr-amd)]2 (iPr-amd = N,N′-diisopropylacetamidinate) and H radicals. The Cu thin films deposited at 50 °C were pure, conformal, and uniform, with the resistivity comparable to sputtered Cu films.14 Compared to the ALD of metal oxides and metal nitrides, the reaction mechanism during metal ALD are not well understood. Given the self-limiting nature of a metal ALD process, it is reasonable to postulate that the surface is fully saturated with ligands and H atoms at the end of the metal precursor (step 1.2) and the H radical (step 2.2) pulses, respectively, as schematically shown in Figure 1a. Next, an ALD cycle proceeds through surface reactions between the H radicals and the adsorbed ligands (step 2.1), and between the metal precursors and the adsorbed H atoms (step 1.1). In this case, the hydrogen co-reactant acts as both proton and hydride donors, which lead to the elimination of surface-adsorbed ligands and the reduction of the metal center.15 However, according to the recent theoretical study of Elliott el al.,15 the steps 1.1 and 2.2 described above are not expected to occur in Cu ALD since the formation of copper hydrides is unfavorable under ALD conditions. As a result, an ALD cycle begins with the molecular or dissociative adsorption of Cu precursors onto the bare Cu surface (step 1.0 in Figure 1b). Subsequently, the dosed H radicals lead to a hydrogenation reaction which will be terminated when all ligands are eliminated and the surface is entirely reduced to metallic Cu (step 2.0). The above-mentioned pathway is termed as an “abbreviated cycle” by Elliott et al.15 Compared to a conventional full ALD cycle, the abbreviated cycle still gives selflimiting reactions, but at a much lower deposition rate. As the first step of an abbreviated cycle, the chemisorption of Cu precursors on the surface is crucial in determining the nucleation and growth mechanism of Cu ALD. An ideal Cu precursor should be

ACS Paragon Plus Environment

Page 2 of 29

Page 3 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

easily chemisorbed on the surface and remains intact until the co-reactant is introduced. In reality, however, the surface chemistry of Cu precursors is usually not that simple and clean, especially when transition-metal substrates are used.16 On nickel and copper surfaces, a series of stepwise decomposition of [Cu(sBu-amd)]2 (sBu-amd = N,N'-di-sec-butylacetamidinate) was observed, involving the formation of adsorbed 2-butene, N-sec-butylacetamidine, acetonitrile, and secbutylamido species.17,18 On a hydroxylated SiO2 surface, a simpler surface chemistry of [Cu(sBuamd)]2 has been reported, with adsorption occurring via displacement of one of the sBu-amd ligands on a surface hydroxide site.19 Using density functional theory (DFT) calculations, Maimaiti el al.20,21 have shown that the Cu(dmap)2 precursor chemisorbs on Cu surfaces and dissociates through the scission of Cu−O bonds into surface-bound Cu(dmap) and dmap fragments. Until now, very limited mechanistic studies have been dedicated to the second half of an abbreviated ALD cycle, where the dosed H radicals react with adsorbed Cu precursors through a hydrogenation reaction. Indeed, it is of great challenge to experimentally elucidate the mechanism of this reaction because of its high complexity. For example, the hydrogenation reaction of Cu precursors involves complex gas-surface reactions that may release a variety of intermediates and end-products. Furthermore, due to the high reactivity of H radicals, this hydrogenation reaction is rather fast and can be completed in about 1 s.14 Thus, highly accurate and sensitive in situ characterization methods are demanded to precisely examine the second half reaction of Cu ALD. As an important complement to in situ characterization, atomistic simulation provides an efficient way to elucidate the chemical mechanism of ALD and hence aids the design of more effective ALD precursors.22-24 In particular, the ReaxFF reactive force field, which retains nearly the accuracy of ab initio calculations but requires much lower computational expense, has shown great promise as a new tool for studying ALD chemistry.25 Previously, we performed ReaxFF reactive molecular dynamics (RMD) simulations on the surface chemistry of Cu ALD from Cu(acac)2 (acac = acetylacetonate) and H radicals.26 Cu(acac)2 was initially chemisorbed on the surface, and the subsequent exposure to H radicals resulted in breaking of the Cu–O, C–O, and C–C bonds and forming water and hydrocarbon by-products.26 More recent, Zheng el al.27 studied the ALD of Al2O3 on germanium surfaces using combined ReaxFF-RMD and in situ spectroscopic ellipsometry. That work clarified the impact of substrate chemistry on the nucleation mechanism and kinetics of Al2O3 ALD and demonstrated the correlation between the interface properties and electrical characteristics of the device.27 The performance and reliability of an RMD simulation rely largely on the reactive potential, which needs to be parametrized against a training set through an optimization procedure. In general, the parametrization of ReaxFF is fairly laborious and time-consuming because there is a great deal of general and atom-specific parameters. So far, most parameter development of ReaxFF was done by the van Duin group using a straightforward single-parameter search (SPS) method.25 Other groups recently proposed more sophisticated optimization approaches, such as parallel SPS,28 hybrid genetic algorithms,29 and Monte-Carlo algorithm with simulated annealing.30 These approaches are shown to

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

be more efficient and robust in developing new force fields, and also help to prevent trapping in local minima. Regardless of the optimization algorithm used, it would be very helpful in reducing development efforts if the most significant parameters of the force field can be determined beforehand. Furthermore, many parameters of ReaxFF are in some way correlated. Thus, apart from optimizing independent parameters, an optimization procedure also needs to properly handle the parametric correlations. At the present, however, the direct quantification of such correlations is still infeasible for most existing approaches. To accomplish these ends, we have developed a multi-parameter and parallel optimization scheme based on the Taguchi method. The Taguchi method is a statistical approach that has been widely used in engineering analysis, experimental design, and numerical optimization.31 Although the Taguchi method is rarely used for optimizing force field parameters, the features offered by this method match well with the requirements of ReaxFF parametrization (see Computational Methods). On the basis of an earlier published Cu ReaxFF potential,32 we have developed the Cu/C and Cu/H parameters against a DFT-based training set. The existing Cu/N parameters were re-optimized with particular focus on Cu ALD. A standard analysis of variance (ANOVA) was conducted to determine which force field parameters are statistically significant. Using this newly developed potential, we performed RMD simulations on the surface reaction of Cu ALD using [Cu(iPr-amd)]2 or Cu(dmap)2 as a Cu precursor and H radical as a co-reactant. The reaction pathways, energy profiles, and product distributions of an abbreviated ALD cycle, involving the dissociative adsorption of Cu precursors and the subsequent hydrogenation using H radicals, were systematically investigated. The current work provides insights into the atomic-scale mechanism of Cu ALD and demonstrates the usefulness of ReaxFF-RMD simulation for studying complex ALD processes.

COMPUTATIONAL METHODS Ab initio calculations. Ab initio DFT calculations were carried out on both periodic and nonperiodic systems to obtain the required data sets for parametrizing the force field. All periodic calculations involving interactions between the C/H/N species and Cu surfaces were performed using the Quantum Espresso (QE) package.33 For modeling the exchange and correlation interactions the Perdew–Burke–Ernzerhof (PBE) functional within the generalized gradient approximation (GGA)34 was used. A plane-wave basis set in conjunction with ultrasoft pseudopotentials35 was employed with reasonably converged k-point sampling. Structures were optimized until the total energy changes and all components of all forces are smaller than 1.26×10−4 eV and 2.57×10−2 eV/Å, respectively. The minimum energy paths and saddle points were investigated by the climbing image nudged elastic band method.36 For consistency, we employed the same GGA-PBE functional as implemented in the DMol3 code37 for non-periodic calculations. A numerical atomic basis set of double-ζ quality with additional polarization functions was used. To address relativistic effects, the density functional semicore pseudopotential method38 was employed for Cu, whereas the N, C, H, and O atoms were treated with an all-electron basis set. Spin unrestricted calculations were performed for open-shell compounds. We ACS Paragon Plus Environment

Page 4 of 29

Page 5 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

have cross-checked the results obtained by the QE and DMol3 codes and found them to be in good agreement. The adsorption energy (Eads) of an adsorbate on a Cu surface was calculated according to Eq.1  =  −  −  (1) where EA+S and ES are the calculated total energies of the Cu surface with an adsorbate and the clean Cu surface, respectively. EA is the total energy of an adsorbate in the gas-phase. ReaxFF Force Field Method. ReaxFF is a general bond order-dependent potential developed by van Duin and co-workers in 2001.39 The system energy (Esystem) in ReaxFF is composed of a sum of energy terms:   =  +   +   +  +   +   +  +   +  (2) A basic assumption of ReaxFF is that the bond order BO’ij between a pair of atoms can be obtained directly from the interatomic distance rij as given in Eq. 3. In calculating the bond orders, ReaxFF distinguishes between contributions from sigma bonds, pi bonds, and double pi bonds , .'(2

′!! ′ = ′ + ′!  +  = exp %&'(1 ∙ + ,(

.'(4

,

0 + exp %&'(3 ∙ +,! (

,

.'(6

 0 + exp %&'(5 ∙ +,!! (

0 (3)

The values of bond order are updated at each RMD step and are used to determine all valence terms listed in Eq.2. For example, the bond energy Ebond is calculated as 7 7 ?@AB E EE  = −567 ∙ 89 ∙ exp:& ; bond parameters (2.8%) > torsion parameters (0.9%) > angle parameters (0.4%). A similar conclusion has also been found in optimizing the Cu/H and Cu/N parameters, but the angle parameters are more significant than the torsion parameters. Therefore, a high priority should be given to the off-diagonal parameters in further works of force field development. With respect to the efficiency of optimization, the Taguchi method exhibits a superior efficiency in the early stages. After 8 steps the S/N value has been increased from −27.6 to −24.6, which is equivalent to about 47.7% reduction in the total error. However, the optimization efficiency is reduced significantly since the 9th step. At the end of optimization all significant factors are eliminated, suggesting that the force field parameters have been well optimized. It is well known that many parameters in the ReaxFF force field correlate with each other. Once we change the input value of one parameter, the optimal values of other parameters may be altered accordingly. These correlations can be viewed as ''hidden parameters'' of the force field, although they were commonly neglected in previous parametrization studies. In this work, some important parametric correlations were included in the later stages of optimization. For example, following the 15th step, we addressed the correlations among 567 , &; , &R , and ,V7 as additional factors in the subsequent optimization steps. As shown in Figure 9b, with the consideration of parametric correlations, the convergence of optimization is delayed and the S/N ratio can be further increased. After 6 optimization steps, all significant factors have been eliminated. The S/N value is increased from −24.51 in the 15th step to −24.38 in the 21th step, which is equivalent to about 2.9 % reduction in total error. Adsorption and dissociation of Cu precursors on the Cu(111) surface. In this section, RMD simulations with the developed force field were performed to understand the chemical mechanism of Cu ALD. First, we investigated the chemisorption of [Cu(iPr-amd)]2 and Cu(dmap)2 on the Cu(111)

ACS Paragon Plus Environment

Page 10 of 29

Page 11 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

surface. The Cu precursor molecules were initially placed on different surface sites of Cu(111), and geometric optimization was performed to determine the most stable adsorption configuration. As shown in Figure 10a, [Cu(iPr-amd)]2 prefers to adsorb in a near-planar geometry on the Cu surface. The ReaxFF calculated adsorption energy of this configuration is −0.76 eV. Two Cu atoms of the precursor are respectively bound to two nearby fcc sites, with CuP−CuS bond lengths of ~2.70 Å (CuP and CuS represent the precursor Cu atom and the surface Cu atom, respectively). The iPr-amd ligands of the precursor are slightly tilted away from the surface normal, leading to the distortion of the N−CuP−N angle (from 179o to 163o). Next, we perform RMD simulations on the optimized [Cu(iPramd)]2/Cu(111) configuration to model the thermal dissociation of the Cu precursor. The snapshots and time evolution of interatomic distances during the simulations are shown in Figures 10b−e. The surface dissociation of [Cu(iPr-amd)]2 begins with rapid cleavage of the N1−CuP1 and N4−CuP2 bonds. The scission of the CuP1−CuP2 bond takes place later at ~18 ps. The complete dissociation of [Cu(iPramd)]2 into Cu(iPr-amd) fragments occurs after ~20 ps of simulations. The remaining N2−CuP2 and N3−CuP1 bonds are stable enough, and thus the further dissociation of Cu(iPr-amd) is not observed throughout the simulations. In short, the following reaction has been proposed for the dissociation of [Cu(iPr-amd)]2 on the Cu surface [Cu(iPr-amd)]2* → 2Cu(iPr-amd)* (7) where the asterisks denote the adsorbed species. The ReaxFF calculated reaction energy of this process is +0.37 eV, which suggests that a small amount of thermal energy is required to overcome the potential barrier. As compared to an intact precursor, the dissociated fragments will occupy more adsorption sites, and thus a self-limiting chemisorption can be easily achieved. Similar geometric optimization and RMD simulations were carried out with the Cu(dmap)2 precursor on the Cu(111) surface. The obtained results are illustrated in Figure 11. Previously, Maimaiti et al.47 have systematically studied the surface chemistry of Cu(dmap)2 on Cu surfaces using DFT with different van der Waals functionals. They found that Cu(dmap)2 can be chemisorbed or physisorbed on Cu(111) depending on the adsorption sites.47 The physisorbed Cu(dmap)2 is nonreactive towards co-reactants, and it needs to be transformed into chemisorbed states to trigger the ALD reactions. Here, we only focus on the chemisorption of Cu(dmap)2 on the Cu(111) surface. As depicted in Figure 11a, the Cu atom of Cu(dmap)2 is located at a bridge site of Cu(111), while the O atoms align top site Cu atoms. Significant deformation of the Cu(dmap)2 molecule and complete cleavage of its N−CuP bonds can be observed. The preferred adsorption geometry of Cu(dmap)2 matches well with previous DFT calculations by Maimaiti et al.47 The ReaxFF calculated adsorption energy and CuP−CuS bond length are −1.29 eV and 2.63 Å, respectively, compared with the DFT-PBE values of −1.47 eV and 2.55 Å.47 RMD results for the dissociation of Cu(dmap)2 on the Cu surface are shown in Figures 11b−e. The reaction starts with breaking of the O1−CuS and O2−CuS bonds and distortion of the ∠O1−CuP−O2 angle. The Cu(dmap)2 precursor is still firmly fixed to the surface by ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

forming the metallic CuP−CuS bonds. Next, the O2−CuP bond in Cu(dmap)2 is broken at ~4 ps, resulting in the formation of Cu(dmap) and dmap species on the surface. Finally, the configuration of adsorbed Cu(dmap) is slightly changed, and a new O1−CuS bond is formed to enhance interactions with the surface. The overall reaction for the thermal dissociation of Cu(dmap)2 on the Cu surface can be written as: Cu(dmap)2* → Cu(dmap)* + dmap* (8) The ReaxFF and DFT47 calculated reaction energies are +0.26 eV and −0.11 eV, respectively, indicating that this reaction is feasible at ALD temperatures (~400 K). Further dissociation of the adsorbed dmap ligand was not observed in the RMD simulations, which is consistent with the previous DFT calculations of Maimaiti et al.20 revealing that this step is hindered both thermodynamically and kinetically. Eventually, the Cu surface is expected to be saturated with the dmap ligands and becomes nonreactive towards Cu precursors and hence uncontrolled deposition can be avoided. Hydrogenation reaction of Cu precursors with H radicals. Once the preferred pathways for the surface-dissociation of [Cu(iPr-amd)]2 and Cu(dmap)2 were determined, we performed RMD simulations to elucidate the second half of the abbreviated ALD cycle, where the adsorbed precursor fragments are hydrogenated by the dosed H radicals. Figure 12 shows the reaction network and snapshots for the hydrogenation reaction of Cu(iPr-amd) [Cu(C8H17N2)] on the Cu(111) surface. Only the preferred reaction pathway is discussed in detail here. It is observed that the H radical first reacts with the N atom of Cu(iPr-amd)* to form a Cu(C8H18N2)* intermediate. An N−C bond in the iPr-amd ligand is then broken by the impact of H atoms, resulting in the production of Cu(C5H11N2)* and C3H8(g) species (7.5 ps). Reaction pathways involving the breaking of C−C bonds were also observed, but with a lower probability of occurrence in the early stages of the reaction. In about 4.5 ps later, another N−C bond in the iPr-amd ligand is cleaved, and Cu(C2H5N2)* and C3H8(g) species are formed. The resulting C3H8(g) molecule can further react with H atoms in the gas-phase to yield more stable and smaller-sized hydrocarbon species, such as CH4(g) and C2H6(g). Finally, an N atom of Cu(C2H6N2)* is abstracted by H, and an NH3(g) molecule is released, while the remaining Cu(C2H4N)* fragment is still bonded to the Cu surface. The distribution of species in the gas-phase during the simulations is shown in Figure 13a. The main reaction products observed are hydrocarbons, which consist in an average of 39%CH4, 32%C3H8, and 18%C2H6. The concentration of NH3 is much lower than that of hydrocarbons, and the release of NH3 occurs much later. The system reaches an equilibrium after ~60 ps of simulations, and all H radicals are consumed completely either by reaction with Cu(iPr-amd)* or by recombination into molecular H2. Afterward, no significant variation of the product distribution can be observed since H2 is unreactive towards precursor fragments at low temperatures. It is noticed that some fragments like C2H4N* remain on the surface after simulations, which may be due to an insufficient dose of H radicals in the system.

ACS Paragon Plus Environment

Page 12 of 29

Page 13 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

Recently, Guo et al.14 reported the in situ quartz crystal microbalance and optical emission spectroscopy studies on the surface reaction of Cu ALD. The [Cu(iPr-amd)]2 precursor was observed to chemisorb and partially dissociate on the Cu surface during the first half-cycle of ALD. Subsequently, the precursor moieties on the surface were completely hydrogenated by H radicals, with the

probable

gaseous

products

of

C3H8,

N-isopropylacetamidine

(C5H12N2),

and

N,N'-

diisopropylacetamidine (C8H18N2). In this work, the formation of these products has been further confirmed by RMD simulations. However, among them, only C3H8 can immediately release into the gas-phase, whereas both C5H12N2 and C8H18N2 are strongly bound to the surface Cu atom forming a Cu−N bond (Figure 12). To further verify the RMD results, DFT calculations were performed to determine the energy profiles of different reaction pathways observed, as shown in Figure 14. All energy values shown in Figure 14 are given relative to the reactants, that is, the adsorbed Cu(iPr-amd) and isolated H atoms. In general, the hydrogenation of Cu(iPr-amd)* is a strongly exothermic reaction. The DFT-calculated reaction energy for the overall process is −10.59 eV. An even greater reaction energy of −12.30 eV is obtained in ReaxFF calculations. The energy profiles show that both C8H18N2 and C5H12N2 are more favorable to adsorb on the surface, rather than being present in the gas-phase. Therefore, further hydrogenation of these surface intermediates is necessary to avoid impurities in the Cu films. The RMD results for the hydrogenation reaction of Cu(dmap)* and dmap* fragments are shown in Figure 15. It is found that an H radical fast transfers to the O atom of dmap* (C5H12NO), resulting in breaking of the Cusurf−O bond and desorption of H(dmap) (C5H13NO) (2.4 ps). The ReaxFF-calculated reaction energy of this step (Eq.9) is −2.79 eV (−2.30 eV by DFT), which can be viewed as a feasible process. In previous work, a similar hydrogen transfer reaction (see Eq.10) was observed in ab initio MD simulations for the reaction between dmap* and formic acid (HCOOH) on Cu(111).48 However, a much lower reaction energy of −0.58 eV (by DFT) is obtained for Eq.10,48 suggesting a relatively lower reactivity of formic acid as compared to the H radical. On the other hand, the reaction between Cu(dmap)* and H(g) proceeds via a different pathway, where the formed H(dmap) cannot be immediately desorbed from the surface in the initial stage (see Figure 15a). dmap* + H(g) → H(dmap)(g) (9) dmap* + HCOOH(g) → H(dmap)(g) + HCOO* (10) Once the H(dmap) molecule is released from the surface, all subsequent reactions will take place in the gas-phase. The hydrogenation reaction of H(dmap) is initiated by the cleavage of the C−O bond, which leads to the formation of C5H12N and H2O molecules. The breaking of the C−N bond takes place later, and C3H6 and C2H7N species are produced. The final hydrogenation products identified are CH4 and NH3. The distribution of gaseous species for reactions between Cu(dmap)* and dmap* fragments and H radicals is shown in Figure 13b. The dominant reaction products observed are hydrocarbons consisting of 46% CH4, 19% C3H8, 13% C2H6, and 12% CH3. The CHON species like ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

C5H13NO have a very low concentration because they are only present as intermediates and will fast decompose into CHN and OH species. The released OH species consist of 61% H2O and 38% H3O, which tend to re-adsorb on the Cu surface after 30 ps of simulations. On the other hand, the readsorption of NH3 was rarely observed because of a weak interaction with the Cu surface (Eads = –0.42 eV, see Table 1). At the end of the simulations, most of the dmap ligands are eliminated into the gasphase, suggesting a high reactivity of Cu(dmap)2 towards H radicals.

CONCLUSION In this study, we have developed a new optimization approach based on the Taguchi method for parametrizing the ReaxFF force field. This optimization scheme was applied to train the parameters of Cu/C, Cu/H, and Cu/N interactions against a DFT-based training set. With a few exceptions, the newly developed ReaxFF potential adequately reproduces the geometric structures and bond dissociation curves of different Cu precursors as obtained by DFT. Furthermore, the adsorption energies and distances of C/H/N species on the Cu surfaces calculated by ReaxFF are also in satisfactory agreement with the DFT results. To validate the developed force field, we compared the single-point energies calculated by DFT and ReaxFF for configurations sampled from the MD trajectories. Statistical analysis based on ANOVA shows that the significance of different parameter groups in the ReaxFF force field follows the order off-diagonal parameters > bond parameters > torsion parameters > angle parameters. Using the developed ReaxFF force field, RMD simulations of Cu ALD using [Cu(iPr-amd)]2 or Cu(dmap)2 as a Cu precursor and H radicals as a co-reactant were carried out. In the first half-cycle, the [Cu(iPr-amd)]2 precursor is found to chemisorb on the Cu(111) surface followed by dissociation into Cu(iPr-amd) monomers. This dissociation reaction is slightly endothermic (+0.37 eV) and thus requires additional thermal energy. In the second half-cycle, H radicals readily react with Cu(iPramd)* resulting in breaking of the Cu−N and N−C bonds and eliminate precursor fragments to the gasphase. The hydrogenation reaction of Cu(iPr-amd)* is strongly exothermic but some intermediates like C5H12N2 and C2H4N are formed on the surface and may lead to the deposition of impurities. On the other hand, a simpler and cleaner surface chemistry has been observed in Cu ALD using the Cu(dmap)2 precursor. The dissociative adsorption of Cu(dmap)2 on Cu(111) leads to the formation of Cu(dmap)* and dmap* fragments. During the subsequent H radical pulse, a hydrogen transfer reaction that produces an H(dmap) intermediate has been observed. In the gas-phase, H(dmap) will be further hydrogenated to form CH4(g), C3H8(g), H2O(g), and NH3(g) molecules. The present work clarifies the detailed mechanism of Cu ALD using [Cu(iPr-amd)]2 or Cu(dmap)2 with H radicals. Since the ReaxFF force field is generally transferable, we believe that the ReaxFF simulation can be also applied for studying other Cu ALD processes, providing insights into ALD chemistry and hence guiding the development of new precursors.

ACS Paragon Plus Environment

Page 14 of 29

Page 15 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

■ ASSOCIATED CONTENT Supporting Information Details of the analysis of variance; the L81(340) orthogonal array; model for simulating the hydrogenation reaction; the dissociation curve of the Cu−N bond in Cu(dmap)2; illustration of adsorption sites on the Cu surfaces; and the optimized force field parameters for Cu/C/H/O/N.

■ AUTHOR INFORMATION Corresponding Authors *Email: [email protected]. Phone: +49 371 45001290. *Email: [email protected]. Phone: +49 371 45001286.

ORCID Xiao Hu: 0000-0001-9368-7267 Notes The authors declare no competing financial interest.

■ ACKNOWLEDGMENTS This work was supported by the German Research Foundation (DFG) in the International Research Training Group (IRTG), Project GRK1215 “Materials and Concepts for Advanced Interconnects’’.

■ REFERENCES (1) Rosenberg, R.; Edelstein, D.C.; Hu, C.K.; Rodbell, K.P. Copper metallization for high performance silicon technology. Annu. Rev. Mater. Sci. 2000, 30, 229–262. (2) Knisley, T. J.; Kalutarage, L. C; Winter, C. H. Precursors and chemistry for the atomic layer deposition of metallic first row transition metal films. Coord. Chem. Rev. 2013, 257, 3222–3231. (3) George, S. M. Atomic layer deposition: an overview. Chem. Rev. 2010, 110, 111–131. (4) Puurunen, R. L. Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. J. Appl. Phys. 2005, 97, 121301. (5) Li, Z.; Rahtu, A.; Gordon, R. G. Atomic layer deposition of ultrathin copper metal films from a liquid copper(I) amidinate precursor. J. Electrochem. Soc. 2006, 153, C787–C794. (6) Waechtler, T.; Ding, S. F.; Hofmann, L.; Mothes, R.; Xie, Q.; Oswald, S.; Detavernier, C.; Schulz, S. E.; Qu, X.P.; Lang, H.; Gessner, T. ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems. Microelectron. Eng. 2011, 88, 684–689. (7) Kalutarage, L. C.; Clendenning, S. B.; Winter, C. H. Low-temperature atomic layer deposition of copper films using borane dimethylamine as the reducing co-reagent. Chem. Mater. 2014, 26, 3731–3738. (8) Utriainen, M.; Kröger-Laukkanen, M.; Johansson, L. S.; Niinistö, L. Studies of metallic thin film growth in an atomic layer epitaxy reactor using M(acac)2 (M=Ni, Cu, Pt) precursors. Appl. Surf. Sci. 2000, 157, 151–158.

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(9) Lee, B. H.; Hwang, J. K.; Nam, J. W.; Lee, S. U.; Kim, J. T.; Koo, S. M.; Baunemann, A.; Fischer, R. A.; Sung, M. M. Low-temperature atomic layer deposition of copper metal thin films: self-limiting surface reaction of copper dimethylamino-2-propoxide with diethylzinc. Angew. Chem. Int. Ed. 2009, 48, 4536–4539. (10) Vidjayacoumar, B.; Emslie, D. J.; Clendenning, S. B.; Blackwell, J. M.; Britten, J. F.; Rheingold, A. Investigation of AlMe3, BEt3, and ZnEt2 as co-reagents for low-temperature copper metal ALD/pulsedCVD. Chem. Mater. 2010, 22, 4844–4853. (11) Profijt, H. B.; Potts, S. E.; Van de Sanden, M. C. M.; Kessels, W. M. M. Plasma-assisted atomic layer deposition: basics, opportunities, and challenges. J. Vac. Sci. Technol. A 2011, 29, 050801. (12) Moon, D. Y.; Han, D. S.; Shin, S. Y.; Park, J. W.; Kim, B. M.; Kim, J. H. Effects of the substrate temperature on the Cu seed layer formed using atomic layer deposition. Thin Solid Films 2011, 519, 3636–3640. (13) Hagen, D. J.; Connolly, J.; Nagle, R.; Povey, I. M.; Rushworth, S.; Carolan, P.; Ma, P.; Pemble, M. E. Plasma enhanced atomic layer deposition of copper: A comparison of precursors. Surf. Coat. Technol. 2013, 230, 3–12. (14) Guo, Z.; Li, H.; Chen, Q.; Sang, L.; Yang, L.; Liu, Z.; Wang, X. Low-temperature atomic layer deposition of high purity, smooth, low resistivity copper films by using amidinate precursor and hydrogen plasma. Chem. Mater. 2015, 27, 5988–5996. (15) Elliott, S. D.; Dey, G.; Maimaiti, Y. Classification of processes for the atomic layer deposition of metals based on mechanistic information from density functional theory calculations. J. Chem. Phys. 2017, 146, 052822. (16) Zaera, F. The surface chemistry of atomic layer depositions of solid thin films. J. Phys. Chem. Lett. 2012, 3, 1301–1309. (17) Ma, Q.; Guo, H.; Gordon, R. G.; Zaera, F. Surface chemistry of copper(I) acetamidinates in connection with atomic layer deposition (ALD) processes. Chem. Mater. 2011, 23, 3325–3334. (18) Ma, Q.; Zaera, F.; Gordon, R.G. Thermal chemistry of copper(I)-N, N′-di-sec-butylacetamidinate on Cu (110) single-crystal surfaces. J. Vac. Sci. Technol. A 2012, 30, 01A114. (19) Dai, M.; Kwon, J.; Halls, M. D.; Gordon, R. G.; Chabal, Y. J. Surface and interface processes during atomic layer deposition of copper on silicon oxide. Langmuir 2010, 26, 3911–3917. (20) Maimaiti, Y.; Elliott, S. D. Kinetics and coverage dependent reaction mechanisms of the copper atomic layer deposition from copper dimethylamino-2-propoxide and diethylzinc. Chem. Mater. 2016, 28, 6282–6295. (21) Dey, G.; Elliott, S. D. Quantum chemical study of the effect of precursor stereochemistry on dissociative chemisorption and surface redox reactions during the atomic layer deposition of the transition metal copper. J. Phys. Chem. C 2015, 119, 5914–5927. (22) Elliott, S. D.; Dey, G.; Maimaiti, Y.; Ablat, H.; Filatova, E. A.; Fomengia, G. N. Modeling mechanism and growth reactions for new nanofabrication processes by atomic layer deposition. Adv. Mater. 2016, 28, 5367– 5380. (23) Fang, G.; Xu, L.; Cao, Y.; Li, A. Theoretical design and computational screening of precursors for atomic layer deposition. Coord. Chem. Rev. 2016, 322, 94–103. (24) Shirazi, M.; Elliott, S. D. Atomistic kinetic Monte Carlo study of atomic layer deposition derived from density functional theory. J. Comput. Chem. 2014, 35, 244–259. (25) Senftle, T. P.; Hong, S.; Islam, M. M.; Kylasa, S. B.; Zheng, Y.; Shin, Y. K.; Junkermeier, C.; EngelHerbert, R.; Janik, M. J.; Aktulga, H. M.; Verstraelen, T.; Grama, A.; van Duin, A. C. T. The ReaxFF reactive force-field: development, applications and future directions. npj Comput. Mater. 2016, 2, 15011. (26) Hu, X.; Schuster, J.; Schulz, S. E.; Gessner, T. Surface chemistry of copper metal and copper oxide atomic layer deposition from copper(ii) acetylacetonate: a combined first-principles and reactive molecular dynamics study. Phys. Chem. Chem. Phys. 2015, 17, 26892–26902.

ACS Paragon Plus Environment

Page 16 of 29

Page 17 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

(27) Zheng, Y.; Hong, S.; Psofogiannakis, G.; Rayner Jr, G. B.; Datta, S.; van Duin, A. C. T.; Engel-Herbert, R. Modeling and in situ probing of surface reactions in atomic layer deposition. ACS Appl. Mater. Interfaces 2017, 9, 15848–15856. (28) Deetz, J. D.; Faller, R. Parallel optimization of a reactive force field for polycondensation of alkoxysilanes. J. Phys. Chem. B 2014, 118, 10966–10978. (29) Jaramillo-Botero, A.; Naserifar, S.; Goddard III, W. A. General multiobjective force field optimization framework, with application to reactive force fields for silicon carbide. J. Chem. Theory Comput. 2014, 10, 1426–1439. (30) Iype, E.; Hütter, M.; Jansen, A. P. J.; Nedea, S. V.; Rindt, C. C. M. Parameterization of a reactive force field using a Monte Carlo algorithm. J. Comput. Chem. 2013, 34, 1143–1154. (31) Tsai, J. T.; Liu, T. K.; Chou, J. H. Hybrid Taguchi-genetic algorithm for global numerical optimization. IEEE Trans. Evolut. Comput. 2004, 8, 365–377. (32) van Duin, A. C. T.; Bryantsev, V. S.; Diallo, M. S.; Goddard III, W. A.; Rahaman, O.; Doren, D. J.; Raymand, D.; Hermansson, K. Development and validation of a ReaxFF reactive force field for Cu cation/water interactions and copper metal/metal oxide/metal hydroxide condensed phases. J. Phys. Chem. A 2010, 114, 9507–9514. (33) Giannozzi, P.; Baroni, S.; Bonini, N.; Calandra, M.; Car, R.; Cavazzoni, C.; Ceresoli, D.; Chiarotti, G. L.; Cococcioni, M.; Dabo, I.; Dal Corso, A. QUANTUM ESPRESSO: a modular and open-source software project for quantum simulations of materials. J. Phys. Condens. Matter 2009, 21, 395502. (34) Perdew, J. P.; Burke, K.; Ernzerhof, M. Generalized gradient approximation made simple. Phys. Rev. Lett. 1996, 77, 3865. (35) Vanderbilt, D. Soft self-consistent pseudopotentials in a generalized eigenvalue formalism. Phys. Rev. B 1990, 41, 7892. (36) Henkelman, G.; Uberuaga, B. P.; Jonsson, H. A climbing image nudged elastic band method for finding saddle points and minimum energy paths. J. Chem. Phys. 2000, 113, 9901–9904. (37) Delley, B. From molecules to solids with the DMol3 approach. J. Chem. Phys. 2000, 113, 7756–7764. (38) Delley, B. Hardness conserving semilocal pseudopotentials. Phys. Rev. B 2002, 66, 155125. (39) van Duin, A. C. T.; Dasgupta, S.; Lorant, F.; Goddard III, W. A. ReaxFF: a reactive force field for hydrocarbons. J. Phys. Chem. A 2001, 105, 9396–9409. (40) Chenoweth, K.; van Duin, A. C. T.; Goddard III, W. A. ReaxFF reactive force field for molecular dynamics simulations of hydrocarbon oxidation. J. Phys. Chem. A 2008, 112, 1040–1053. (41) Plimpton, S. Fast parallel algorithms for short-range molecular dynamics. J. Comput. Phys. 1995, 117, 1−19. (42) Rahaman, O.; van Duin, A. C. T.; Goddard III, W. A.; Doren, D. J. Development of a ReaxFF reactive force field for glycine and application to solvent effect and tautomerization. J. Phys. Chem. B 2010, 115, 249–261. (43) Huang, L.; Joshi, K. L.; van Duin, A. C. T.; Bandosz, T. J.; Gubbins, K. E. ReaxFF molecular dynamics simulation of thermal stability of a Cu3(BTC)2 metal–organic framework. Phys. Chem. Chem. Phys. 2012, 14, 11327–11332. (44) Jeon, B.; Sankaranarayanan, S. K.; van Duin, A. C. T.; Ramanathan, S. Influence of surface orientation and defects on early-stage oxidation and ultrathin oxide growth on pure copper. Philos. Mag. 2011, 91, 4073–4088. (45) Monti, S.; Li, C.; Carravetta, V. Reactive dynamics simulation of monolayer and multilayer adsorption of glycine on Cu(110). J. Phys. Chem. C 2013, 117, 5221–5228. (46) Mueller, J. E.; van Duin, A. C. T.; Goddard III, W. A. Development and validation of ReaxFF reactive force field for hydrocarbon chemistry catalyzed by nickel. J. Phys. Chem. C 2010, 114, 4939–4949.

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(47) Maimaiti, Y.; Elliott, S. D. Precursor adsorption on copper surfaces as the first step during the deposition of copper: a density functional study with van der Waals correction. J. Phys. Chem. C 2015, 119, 9375–9385. (48) Dey, G.; Elliott, S. D. Copper reduction and atomic layer deposition by oxidative decomposition of formate by hydrazine. RSC Adv. 2014, 4, 34448–34453.

Figure 1. The schematic views of a) a conventional full ALD cycle, and b) an “abbreviated cycle” proposed by Elliott et al.15 MLn (L = ligand) and CuLn present a general metal precursor and a Cu precursor, respectively.

Figure 2. Overall computational flow diagram for the optimization of the ReaxFF force field.

ACS Paragon Plus Environment

Page 18 of 29

Page 19 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

Figure 3. DFT and ReaxFF calculated bond dissociation energies for Cu−C and Cu−H bonds. The gray, red, salmon pink, and white spheres represent C, O, Cu, and H atoms, respectively.

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 4. DFT and ReaxFF calculated bond dissociation energies for the Cu−N and N−C bonds in the [Cu(iPr-amd)]2 precursor.

ACS Paragon Plus Environment

Page 20 of 29

Page 21 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

Figure 5. DFT and ReaxFF calculated relative energies for the distortion of a) C−Cu−C, b) C−Cu−H, c) C−Cu−O bond angles and d) a Cu−C−C−O dihedral angle.

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 6. DFT and ReaxFF calculated geometric structures of the [Cu(iPr-amd)]2, Cu(dmap)2, and Cu(acac)2 precursors.

ACS Paragon Plus Environment

Page 22 of 29

Page 23 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

Table 1 Comparison of adsorption energies (Eads) and adsorption distances (d) of different C/H/N species on the Cu surfaces calculated by DFT and ReaxFF. DFT Adsorbate

Substrate

ReaxFF

Site Eads (eV)

d (Å)

Eads (eV)

d (Å)

H

Cu(111)

fcc

−2.46

1.77

−2.46

1.72

H

Cu(111)

otc

−1.85

1.85

−2.15

1.71

H

Cu(110)

hollow

−2.42

1.90

−2.48

1.62

H

Cu(100)

hollow

−2.43

1.90

−2.46

1.62

C

Cu(111)

fcc

−4.85

1.87

−4.93

1.94

C

Cu(111)

otc

−4.95

1.92

−5.32

2.02

C

Cu(110)

hollow

−5.63

2.05

−5.58

2.08

C

Cu(100)

hollow

−6.12

1.93

−5.76

1.98

CH

Cu(111)

fcc

−4.98

1.93

−4.84

1.92

CH2

Cu(111)

bridge

−2.71

1.99

−2.81

1.99

CH3

Cu(111)

top

−1.17

2.02

−1.46

2.02

C(CH3)2

Cu(111)

fcc

−2.02

2.05

−1.64

2.04

N

Cu(111)

fcc

−3.47

1.84

−4.22

1.87

N

Cu(111)

otc

−3.22

1.88

−3.59

1.93

N

Cu(110)

hollow

−3.72

2.04

−4.13

1.93

N

Cu(100)

hollow

−4.40

1.91

−4.24

1.98

NH

Cu(111)

fcc

−3.55

1.90

−3.84

1.87

NH2

Cu(111)

bridge

−2.36

1.98

−2.54

1.95

NH3

Cu(111)

top

−0.53

2.12

−0.42

2.29

N(CH3)

Cu(111)

fcc

−2.75

1.93

−3.28

1.90

N(CH3)3

Cu(111)

top

−0.33

2.34

−0.31

2.58

i

Cu(111)

top

−1.95

1.98

−1.65

1.88

Pr-amd

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 7. Comparison of energy profiles for the diffusion of C, H, and N atoms on Cu(111) calculated by DFT and ReaxFF. The diffusion pathway is shown in the insert of a).

ACS Paragon Plus Environment

Page 24 of 29

Page 25 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

Figure 8. Comparison of DFT and ReaxFF calculated single-point energies for configurations of a) C/Cu(111), H/Cu(111), and N/Cu(111), and b) CHx/Cu(111) and NHx/Cu(111) sampling from ReaxFF MD simulations. The reference states of H/Cu(111), N/Cu(111), and NHx/Cu(111) have been shifted by 10 eV or 20 eV so that their energy profiles can be distinguished.

Figure 9. a) Percentage contribution of different parameter groups to the total signal-to-noise during the optimization. b) Percentage contribution of different parameter groups and parametric correlations to the total signal-to-noise during the optimization. Only significant parameters (above 95% confidence) were taken into account in this work.

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 10. RMD simulations for the adsorption and dissociation of [Cu(iPr-amd)]2 on the Cu(111) surface. a) Optimized structure for the adsorption of [Cu(iPr-amd)]2 on Cu(111). b)–d) RMD snapshots of the thermal dissociation of [Cu(iPr-amd)]2 on Cu(111) at 600 K. e) Time evolution of interatomic distances in [Cu(iPr-amd)]2 during the simulations.

Figure 11. RMD simulations for the adsorption and dissociation of Cu(dmap)2 on the Cu(111) surface. a) Optimized structure for the adsorption of Cu(dmap)2 on Cu(111). b)–d) RMD snapshots of the thermal dissociation of Cu(dmap)2 on Cu(111) at 600 K. e) Time evolution of interatomic distances in Cu(dmap)2 during the simulations.

ACS Paragon Plus Environment

Page 26 of 29

Page 27 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

Figure 12. a) Reaction network and b) snapshots for the reactions between Cu(iPr-amd)* and H radicals on the Cu(111) surface. The number accompanying each arrow indicates the total number of occurrences of the reaction. The most preferred reaction pathway is marked as red color.

Figure 13. Distribution of gaseous by-products for the reactions between a) Cu(iPr-amd)* and b) Cu(dmap)* + dmap* and H radicals on the Cu(111) surface. The average composition of CH, OH, CHN, CHO, and CHON species are provided on the right panel of the figures.

ACS Paragon Plus Environment

The Journal of Physical Chemistry 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 14. DFT and ReaxFF (in bracket) calculated energy profile for the reactions between Cu(amd)* and H radicals on the Cu(111) surface.

Figure 15. a) Reaction network and b) snapshots for the reactions between Cu(dmap)* and dmap* and H radicals on the Cu(111) surface. The number accompanying each arrow indicates the total number of occurrences of the reaction. The most preferred reaction pathway is marked as red color.

ACS Paragon Plus Environment

Page 28 of 29

Page 29 of 29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The Journal of Physical Chemistry

TOC Graphic

ACS Paragon Plus Environment