Novel Selective Etching Method for Silicon Nitride Films on Silicon

The etching rates for the silicon nitride films were higher than those for silicon dioxide films under the same conditions. A novel selective etching ...
0 downloads 0 Views 97KB Size
4684

Ind. Eng. Chem. Res. 2000, 39, 4684-4688

Novel Selective Etching Method for Silicon Nitride Films on Silicon Substrates by Means of Subcritical Water Kiyoyuki Morita* and Kiyoshi Ohnaka Advanced Technology Research Laboratories, Matsushita Electric Industrial Co., Ltd., 3-1-1 Yagumo-Nakamachi, Moriguchi, Osaka 570-8501, Japan

The reaction of silicon nitride films on silicon substrates in sub- and supercritical water at temperatures between 100 and 400 °C has been studied for the first time. The etching rates for the silicon nitride films were higher than those for silicon dioxide films under the same conditions. A novel selective etching method for silicon nitride films using subcritical water has been proposed. The etching mechanism for silicon nitride films in subcritical water was studied by means of infrared spectroscopy and ion chromatography. The etching selectivity at 200 °C and 10 MPa reached 70. An etching rate of 7.5 nm/min for the silicon nitride films was obtained under the same conditions. The selectivity value was higher than that of the conventional method using phosphoric acid, while the etching rate was comparable. Moreover, water is nontoxic; therefore, the proposed method is environmentally friendly. The proposed selective etching method for silicon nitride films using subcritical water is one of the promising candidates for future etching technologies. Introduction Recently, the semiconductor industry has been rapidly advancing. Numerous chemicals have been used in the wet etching and surface cleaning steps of silicon ULSI (ultralarge-scale integration) fabrication processes. For example, sulfuric acid, nitric acid, and ammonia are mainly used for surface cleaning. Hydrofluoric acid and phosphoric acid are currently utilized for the removal of silicon dioxide films and silicon nitride films, respectively. Almost all of these acids and bases are used at very high concentrations; therefore, numerous safety facilities must be installed in factories, and these chemical wastes pose an environmental threat. Methods that are safe and have less environmental impact are expected to emerge. Water is the only reagent that has no environmental impact. In the 1950s, reactions of water with minerals such as quartz were extensively studied by geologists to investigate the earth’s interior.1-2 Kennedy reported quartz solubility data in the subcritical region.1 A simple equation correlating quartz solubility with the density of water was proposed by Manning in 1994.3 In 1995, an attempt to use water as an etchant of thermally grown silicon dioxide films on silicon substrates was reported for the first time by Bakker and Hess.4 They investigated the removal of thermally grown silicon dioxide films with water at 260-305 °C and 138 bar (13.8 MPa). Removal rates increased from 2.9 to 11.2 nm/min throughout that temperature range. These films could be etched by water at elevated temperatures and pressures; however, silicon surfaces were also etched throughout such a temperature range. In almost all silicon devices, there is a silicon substrate under a thermally grown silicon dioxide film. The surface of the silicon substrate will appear at the end of the etching step of the silicon dioxide film. The surface of the silicon substrate should not be etched in order to maintain the * Author to whom correspondence should be addressed. E-mail: [email protected]. Fax: +81-6-6906-8100.

performance of fabricated devices. Thus, the etching should have high etching selectivity to the materials between the silicon dioxide films and the silicon substrates. However, it is reported that silicon is etched about 25 times faster than silicon dioxide films at 285 °C.4 From this point of view, it seems to be difficult to use pressurized water in the etching step of the thermally grown silicon dioxide films in the silicon ULSI fabrication processes. The silicon ULSI devices are composed simply of silicon, silicon dioxide, and silicon nitride with some other doped impurities. The etching reaction of silicon and silicon dioxide in pressurized water has been studied, as previously mentioned. Here, we aim to investigate the etching reaction of silicon nitride films on silicon substrates. There has been no study on the reaction in pressurized water of silicon nitride films fabricated by chemical vapor deposition. If silicon nitride films can be etched with high selectivity to silicon dioxide films, pressurized water could be used as a reagent for selective silicon nitride etching. Furthermore, the reaction of thermally grown silicon dioxide films in high-pressure water below 260 °C has not yet been clarified. To our knowledge, this is the first time that the etching of silicon nitride films and silicon dioxide films on silicon substrates in sub- and supercritical water at temperatures between 100 and 400 °C has been studied. Experimental Section A schematic diagram of the high-pressure system used in this study is shown in Figure 1. The system is a combination of a high-pressure vessel, an HPLC (highperformance liquid chromatography) pump, a temperature control unit (oven), and a back-pressure regulator. The vessel has an internal volume of about 30 cm3 and is made of hastelloy. It is designed to withstand pressures up to 40 MPa and temperatures up to 400 °C. Pressure is measured and controlled by the backpressure regulator. Temperature is controlled by the

10.1021/ie000127x CCC: $19.00 © 2000 American Chemical Society Published on Web 10/28/2000

Ind. Eng. Chem. Res., Vol. 39, No. 12, 2000 4685

Figure 1. Schematic diagram of the high-pressure system.

oven and simultaneously monitored by a thermocouple (TC) placed inside the wall of the vessel. A heat exchanger is placed inside the oven between the pump and the vessel for effective temperature control of the water injected into the vessel. There are a cooling trap and another heat exchanger between the vessel and the back-pressure regulator to protect the back-pressure regulator from high-temperature fluids. Silicon substrates used in this study were p-type (001) silicon wafers with a resistivity of 10-15 Ω cm. A silicon dioxide film ∼600 nm thick was fabricated by thermal oxidation of the silicon substrate at 1000 °C in a pyrogenic atmosphere. A silicon nitride film ∼585 nm thick was deposited on the silicon substrate by a lowpressure chemical vapor deposition (LP-CVD) method using SiH2Cl2 and NH3 at 780 °C. All wafers were cut into small (∼1 cm2) samples. All samples were rinsed with water and acetone for at least 5 min each before the experiments. New samples were used in every experiment. The samples were first placed inside the high-pressure vessel. Then, the vessel was sealed and heated by the oven. When the samples attained the desired temperature, water was introduced by means of the pump to the desired pressure. After the samples were exposed to the fluid for a certain time, the pressure was released, and the vessel was cooled to room temperature. The oxygen concentration in the water used was in the range of 0.5-1 ppm. For sample evaluation, scanning electron microscopy (SEM), infrared (IR) spectroscopy, and ellipsometry were employed. Refractive indices of 1.46 and 2.00 were used for ellipsometric measurements on silicon dioxide films and silicon nitride films, respectively. Results and Discussion First, the reaction of thermally grown silicon dioxide films on silicon substrates with subcritical water at 100-400 °C and 10 MPa was studied. Under all conditions, thermally grown silicon dioxide films on the silicon substrates were etched by water. The temperature dependence of the etching rate of the silicon dioxide films at 10 MPa is shown in Figure 2. The etching rate under the supercritical conditions of 400 °C and 25 MPa is also indicated in Figure 2. A very low etching rate of below 0.1 nm/min was obtained at 100-200 °C in this experiment; however, significant etching occurred at temperatures above 200 °C. The etching rate of the silicon dioxide films increased with increasing temperature between 200 and 300 °C. The etching rate reached ∼2.2 nm/min at 300 °C. Bakker and Hess reported an etching rate of 11.2 nm/min at 305 °C and 13.8 MPa.4 This rate is about five times larger than the rate that we obtained. The experimental conditions were only slightly different in pressure, 10 and 13.8 MPa. There-

Figure 2. Temperature dependence of the etching rate for thermally grown silicon dioxide films in subcritical water at 10 MPa and supercritical water at 400 °C and 25 MPa.

fore, the difference in the etching rate must come from the difference in chemical properties of silicon dioxide films oxidized under different temperature conditions. As described later, the activation energy values of these films for etching in pressurized water differed by about 7.7 kJ/mol. The etching rate drastically decreased above 300 °C. The etching rate of the silicon dioxide films under the supercritical conditions of 400 °C and 25 MPa was 2.6 nm/min. The etching rate at 400 °C and 10 MPa was 0.1 nm/min. Thus, the rate at 400 °C and 25 MPa was much higher than that at 400 °C and 10 MPa. The solubility product of water is at its peak for temperatures in the range 200-300 °C throughout the pressure range of 10-25 MPa.5 It is well-known that silicon dioxide is dissolved in aqueous solution as anions such as HSiO3- and SiO32- in the high-pH region.6 It is thought that not only thermal energy but also the number of OH- ions become very high at 200-300 °C, and these anions would effectively react with the silicon dioxide films on the silicon substrates. Moreover, according to the phase diagram, water reaches the saturation pressure and liquid water changes to the vapor phase above 315 °C at 10 MPa. The density of liquid water below 300 °C is about 700-950 kgm-3; however, that of water vapor is only about 40-60 kgm-3. Thus, the etching rate in the high-temperature region above ∼325 °C seems to decrease not only because of the low solubility product of water but also because of the low density of vapor water. Other temperature- and pressure-dependent variables, such as the dielectric constant, do not show any drastic change in this temperature range. Figure 3 shows an Arrhenius plot of the etching rate of thermally grown silicon dioxide films. It has inflections at ∼200 °C (1000/T ) 2.11) and ∼300 °C (1000/T ) 1.75). The inflection at ∼300 °C is due to the phase change of water, as previously mentioned. Water exists in the single phase of liquid at temperatures between 100 and 315 °C at 10 MPa. The inflection at ∼200 °C suggests that there may be at least two different etching mechanisms for the silicon dioxide films in this temperature range. When the plots at temperatures between 200 and 300 °C are used, the apparent activation energy for the etching of silicon dioxide films in subcritical water is calculated to be 68.9 kJ/mol. The reported value for the activation energy for this etching in pressurized water is 76.6 kJ/mol;4 our result is in

4686

Ind. Eng. Chem. Res., Vol. 39, No. 12, 2000

Figure 3. Arrhenius plot of the etching rate for thermally grown silicon dioxide films in subcritical water at 10 MPa.

Figure 5. Arrhenius plot of the etching rate for LP-CVD silicon nitride films in subcritical water at 10 MPa.

Figure 4. Temperature dependence of the etching rate for LPCVD silicon nitride films in subcritical water at 10 MPa and supercritical water at 400 °C and 25 MPa.

Figure 6. IR spectra of the sample with a silicon nitride film measured (a) immediately after the deposition of the silicon nitride film, (b) after water exposure at 150 °C and 10 MPa, and (c) after the sample was dipped in a buffered hydrofluoric acid for 10 s (c).

fairly good agreement with the literature. The difference of about 7.7 kJ/mol may be due to the difference in the chemical properties of these silicon dioxide films oxidized under different temperature conditions. The reaction of LP-CVD silicon nitride films on silicon substrates with subcritical water is studied for the first time under the same conditions as previously mentioned. The silicon nitride films were etched by water under all conditions examined. The temperature dependence of the etching rate for the silicon nitride films at 10 MPa is shown in Figure 4. The etching rate under the supercritical conditions of 400 °C and 25 MPa is also indicated in Figure 4. Significant etching of the silicon nitride films was not found at 100 °C or 400 °C in this experiment; however, etching was clearly observed at temperatures between 150 and 350 °C. The etching rate for the silicon nitride films drastically increased with increasing temperature between 150 and 300 °C. The etching rate reached ∼41.6 nm/min at 300 °C. The etching rate decreased with increasing temperature above 300 °C. The etching rate under the supercritical conditions of 400 °C and 25 MPa was 35.2 nm/min, and that at 400 °C and 10 MPa was 0.30 nm/min. The rate at 400 °C and 25 MPa was much higher than that at 400 °C and 10 MPa, and it was comparable to that at 300 °C and 10 MPa. This tendency is similar to that observed for the reaction between subcritical water and the silicon dioxide films mentioned above. The etching rate above 300 °C at 10 MPa seems to decrease because of the low density and low solubility product of water vapor, as mentioned above. Figure 5 shows an Arrhenius plot of the etching rate of silicon nitride films. There is an inflection at ∼300

°C due to the phase change of water, as previously mentioned. There seems to be a single mechanism for etching of the silicon nitride films at temperatures between 100 and 300 °C. When the plots at temperatures between 100 and 300 °C are used, the apparent activation energy for the etching of silicon nitride films is 57.9 kJ/mol. The activation energy for the etching of silicon nitride films in subcritical water is about 11 kJ/ mol lower than that of thermally grown silicon dioxide films. The etching mechanism for silicon nitride films in pressurized water is not yet clarified. It is well-known that silicon nitride films can be etched in concentrated phosphoric acid at 150-200 °C. van Gelder and Hauser suggested that water is essential in hydrolyzing silicon nitride films to some form of hydrous silica and ammonia for the selective etching of silicon nitride films in phosphoric acid.7 The same mechanism is predicted for the etching of silicon nitride films in pressurized water. As shown in Figure 6, IR spectra of the sample with a silicon nitride film were measured. The spectrum measured immediately after the deposition of the silicon nitride film (Figure 6a) shows only one absorbance band peak at 866 cm-1 caused by Si-N bonds in the silicon nitride film. In the spectrum measured after water exposure at 150 °C and 10 MPa (Figure 6b), the absorbance band has a shoulder at 1100-1250 cm-1. After the sample is dipped in a buffered hydrofluoric acid for 10 s, the shoulder at 1100-1250 cm-1 in the spectrum disappears (Figure 6c). The absorbance band peak at around 1100 cm-1 is well-known and corresponds to Si-O bonds, and silica is easily dissolved in

Ind. Eng. Chem. Res., Vol. 39, No. 12, 2000 4687

Figure 7. Temperature dependence of the etching selectivity S (S ) kSi3N4/kSiO2) in subcritical water at 10 MPa and supercritical water at 400 °C and 25 MPa.

buffered hydrofluoric acid. Thus, the shoulder in the spectrum should be due to hydrous silica. This hydrous silica would have a high solubility in pressurized water. Therefore, continuous etching of the silicon nitride film could be achieved. The water remaining in the vessel after exposure of the samples with silicon nitride films at 250 °C and 10 MPa was analyzed by ion chromatography. It contained 5.2 mg/L of NH4+ ions, although the NH4+ concentration of the control sample was only 0.02 mg/L. According to these data, it can be concluded that the silicon nitride film is hydrolyzed to some form of hydrous silica and ammonia by pressurized water. Then, the etching mechanism for silicon nitride film in pressurized water would be the same as that in concentrated phosphoric acid. A more complete understanding of this etching mechanism requires further study. According to Figures 2-5, there is a difference in the temperature dependence of the etching rate for silicon dioxide films and that for silicon nitride films. The etching rate for the silicon nitride films (kSi3N4) is higher than that for silicon dioxide films (kSiO2); the etching selectivity (S) is defined as S ) kSi3N4/kSiO2. Figure 7 shows the temperature dependence of the etching selectivity S. The etching selectivity S was only 1.3 at 100 °C, indicating low selectivity at that temperature. The etching selectivity S increased with increasing temperature below 200 °C at 10 MPa. The etching selectivity S was at its maximum at 200 °C, and S reached about 70 in our experiments. The etching selectivity S decreased with increasing temperature above 200 °C. The etching selectivity S under the supercritical conditions of 400 °C and 25 MPa was about 13. The etching selectivity under the supercritical conditions was lower than that under the subcritical conditions of 200 °C and 10 MPa. The reaction of silicon substrates in subcritical water at 200 °C and 10 MPa was also investigated. According to SEM observation and XMA (x-lay microanalyzer) analysis, pyramidal silicon pillars could be observed on the silicon surface exposed to water at 200 °C and 10 MPa, as shown in Figure 8. It seems that silicon substrates can be etched by water under these conditions and that the etching is sensitive to crystal orientation. Usuda et al. reported that the Si(001) surface after 20-64 h of ultrapure-water rinsing at room temperature and 1 atm consisted of rounded “mountains”.8 They also reported that these mountains were thought to be (111) or (110) facets surrounded by (001) terraces. Our results at 200 °C and 10 MPa coincide well with their results below 100 °C at 1 atm. These pillars are thought to be

Figure 8. SEM image of a p-type (001) silicon substrate exposed to subcritical water at 200 °C and 10 MPa for 10 min.

Figure 9. SEM image of a p-type (001) silicon substrate exposed to supercritical water at 400 °C and 25 MPa for 10 min.

produced by the anisotropic etching of silicon by water under those conditions. In contrast, surface pitting was observed on the silicon surfaces exposed to water at 400 °C and 25 MPa, as shown in Figure 9. There are many holes on the silicon surface, and these holes have no features, as shown in Figure 9. It seems that the etching in supercritical water under these conditions is not sensitive to crystal orientation. This reveals that anisotropic silicon etching by pressurized water occurs even at 200 °C and 10 MPa and that another etching mechanism could be dominant under supercritical conditions. Silicon nitride films can be etched in phosphoric acid (H3PO4) at 150-200 °C.7 Selective etching of silicon nitride over silicon dioxide is achieved with 85% H3PO4 at 180-150 °C in silicon ULSI processes. The etching rate is typically 10 nm/min for CVD silicon nitride films but only a few angstroms per minute for thermally grown silicon dioxide films.9 Thus, the etching selectivity (S) using phosphoric acid seems to be about 50-30. In contrast, an etching rate of 7.5 nm/min and an etching selectivity (S) of 70 could be obtained for the silicon nitride films by means of our proposed method of using subcritical water at 200 °C and 10 MPa. Therefore, the proposed method has a higher etching selectivity than the conventional etching method of using phosphoric acid. It also has an etching rate comparable to that of the conventional method, as mentioned. Moreover, phosphoric acid is toxic and poses a grave environmental threat. In contrast, water is nontoxic; therefore, the proposed method is environmentally friendly. For example, the LOCOS (local oxidation of silicon) process is widely used for device isolation in silicon ULSI processes.10 Figure 10 shows a schematic cross-

4688

Ind. Eng. Chem. Res., Vol. 39, No. 12, 2000

Figure 10. Schematic cross-sectional view of a sample undergoing the LOCOS process.

sectional view of a sample undergoing the LOCOS process. First, a silicon dioxide film (pad oxide) 20 nm thick and a silicon nitride film 160 nm thick are fabricated on a silicon substrate and patterned by photolithography and dry etching (Figure 10a). Then, local oxidation is performed at 1000 °C in a pyrogenic atmosphere. The silicon nitride film acts as an oxidation mask. A thick silicon dioxide film (LOCOS oxide, ∼400 nm thick) is grown only on the silicon surface where there is no silicon nitride film on the surface (Figure 10b). After the desired silicon dioxide film is fabricated, the silicon nitride film is etched by concentrated phosphoric acid at 150-200 °C (Figure 10c). Subsequently, the pad oxide is removed by hydrofluoric acid (Figure 10d), and metal-oxide-semiconductor (MOS) transistors are fabricated in active regions (Figure 10e). LOCOS oxide is on the surface of the silicon substrate, and pad oxide is beneath the silicon nitride film, as shown in Figure 10b. During silicon nitride film etching, these silicon dioxide films should not be etched off. Thus, a high etching selectivity to silicon nitride over silicon dioxide is required in this step. On the other hand, because there is nothing but silicon dioxide film beneath the silicon nitride film, the etchant should be selective only to silicon nitride over silicon dioxide. An etching selectivity to silicon nitride over other materials is not necessary in this case. The proposed method of etching by means of subcritical water has a higher etching selectivity and a similar etching rate compared with the conventional method of using phosphoric acid. Furthermore, it is environmentally friendly. Therefore, the proposed method is suitable for selective silicon nitride film etching in the LOCOS process. Conclusion The reaction of LP-CVD silicon nitride films on silicon substrates with sub- and supercritical water has been studied for the first time. The silicon nitride films were etched by water under all conditions examined. The etching rate for the silicon nitride films drastically increased with increasing temperature between 150 and 300 °C. The activation energy for this etching reaction was about 57.9 kJ/mol. The etching rate decreased with increasing temperature above 300 °C because of the low

density and low solubility product of water vapor. The etching mechanism for silicon nitride films in subcritical water was studied by means of IR spectroscopy and ion chromatography. It is concluded that silicon nitride films are hydrolyzed by pressurized water to some form of hydrous silica and ammonia, which are soluble in water. The etching rate for the silicon nitride film (kSi3N4) was higher than that for the silicon dioxide film (kSiO2) under all conditions examined. The etching selectivity S (S ) kSi3N4/kSiO2) increased with increasing temperature below 200 °C at 10 MPa, and it was at its maximum of 70 at 200 °C. An etching rate of 7.5 nm/min for the silicon nitride films was obtained under the same conditions. This etching selectivity is higher than that of the conventional method of using phosphoric acid, whereas the etching rate of the proposed method is comparable to that of the conventional method. Moreover, water is nontoxic; therefore, the proposed method is environmentally friendly. The proposed method for selective etching of silicon nitride films over silicon dioxide films by means of subcritical water is one of the promising candidates for future etching technologies. Acknowledgment The authors thank K. Morimoto and H. Sorada for their assistance with experiments, E. Mizoguchi for SEM observations, and Drs. Y. Yoshioka and K. Tsukamoto for fruitful discussions. H. Konishi, Y. Matsumura, and K. Okamura are gratefully acknowledged for their technical advice. Thanks are also extended to Dr. O. Yamazaki for continuous encouragement. Literature Cited (1) Kennedy, G. C. A portion of the system silica-water Econ. Geol. 1950, 45, 629-653. (2) Morey, G. W.; Hesselgesser, J. M. The solubility of some minerals in superheated steam at high pressures. Econ. Geol. 1951, 46, 821-835. (3) Manning, C. E. The solubility of quartz in H2O in the lower crust and upper mantle. J. Phys. Geochim. Cosmochim. Acta 1994, 58 (22), 4831-4839. (4) Bakker, G. L.; Hess, D. W. Removal of Thermally Grown Silicon Dioxide Films Using Water at Elevated Temperature and Pressure. J. Electrochem. Soc. 1995, 142 (11), 3940-3944. (5) Pitzer, K. S. Self-Ionization of Water at High Temperature and the Thermodynamic Properties of the Ions. J. Phys. Chem. 1982, 86, 4704-4708. (6) Carasso, J. I.; Faktor, M. M. Oxidation Reactions and Potentials of Germanium and Silicon. In Electrochemistry of Semiconductors; Holms, P. J., Ed.; Academic Press: New York, 1962. (7) van Gelder, W.; Hauser, V. E. The Etching of Silicon Nitride in Phosphoric Acid with Silicon Dioxide as a Mask. J. Electrochem. Soc. 1967, 114 (8), 869-872. (8) Usuda, K.; Kanaya, H.; Yamada, K. Characterization of Si surfaces after rinsing with ultrapure water of very low dissolved oxygen concentration. Ext. Abstr. Meet. Soc. Electrochem. 1995, 95 (2), 700-701. (9) Chang, C. Y.; Sze, S. M. ULSI Technology; McGraw-Hill: New York, 1996. (10) Appels, J. A.; Kooi, E.; Paffen, M. M.; Schatorje, J. J. H.; Verkuylen, W. H. C. G. Local Oxidation of Silicon and Its Application in Semiconductor-Device Technology. Phillips Res. Rep. 1970, 25, 118-132.

Received for review February 1, 2000 Revised manuscript received July 11, 2000 Accepted July 15, 2000 IE000127X