Nucleation and Conformality of Iridium and Iridium Oxide Thin Films

Sep 27, 2016 - The order of nucleation, from the fastest to the slowest, was O2 + H2 > air ≈ O2 > O3 > O3 + H2, whereas the order of conformality, f...
3 downloads 9 Views 2MB Size
Article pubs.acs.org/Langmuir

Nucleation and Conformality of Iridium and Iridium Oxide Thin Films Grown by Atomic Layer Deposition Miika Mattinen,*,† Jani Ham ̈ al̈ aï nen,† Feng Gao,§ Pasi Jalkanen,‡ Kenichiro Mizohata,‡ Jyrki Raï san̈ en,‡ § Riikka L. Puurunen, Mikko Ritala,† and Markku Leskela†̈ †

Laboratory of Inorganic Chemistry, Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki, Finland Division of Materials Physics, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki, Finland § VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044 Espoo, Finland ‡

ABSTRACT: Nucleation and conformality are important issues, when depositing thin films for demanding applications. In this study, iridium and iridium dioxide (IrO2) films were deposited by atomic layer deposition (ALD), using five different processes. Different reactants, namely, O2, air, consecutive O2 and H2 (O2 + H2), and consecutive O3 and H2 (O3 + H2) pulses were used with iridium acetylacetonate [Ir(acac)3] to deposit Ir, while IrO2 was deposited using Ir(acac)3 and O3. Nucleation was studied using a combination of methods for film thickness and morphology evaluation. In conformality studies, microscopic lateral high-aspect-ratio (LHAR) test structures, specifically designed for accurate and versatile conformality testing of ALD films, were used. The order of nucleation, from the fastest to the slowest, was O2 + H2 > air ≈ O2 > O3 > O3 + H2, whereas the order of conformality, from the best to the worst, was O3 + H2 > O2 + H2 > O2 > O3. In the O3 process, a change in film composition from IrO2 to metallic Ir was seen inside the LHAR structures. Compared to the previous reports on ALD of platinum-group metals, most of the studied processes showed good to excellent results.



INTRODUCTION Thin films of various materials have become extremely important in a plethora of technological areas, including, for example, microelectronics, optics, sensors, and energy production. Rapidly increasing requirements, such as downscaling in film thickness, as well as the use of more complex and demanding substrates, also increase the demands on film production, rendering traditional deposition methods, such as evaporation, insufficient. Atomic layer deposition (ALD), a modification of chemical vapor deposition (CVD), is an advanced gas phase thin film deposition method.1−4 It is based on self-limiting surface reactions of precursors that are alternately pulsed onto the substrate, separated by purge or evacuation periods. Indeed, it is the self-limiting nature of surface reactions that gives ALD most of its perks: in particular, extreme conformality, large-area uniformity, precise film thickness and composition control, as well as typically good film purity and quality.1−4 Platinum-group metals (Ru, Rh, Pd, Os, Ir, and Pt) have excellent physical properties, and a wide range of possible applications, although many of them may be hindered by the high cost of these rare elements.5 Their use as thin films or nanoparticles decreases the amount of material needed, with obvious benefits in regard to reduced cost and exploitation of natural resources. Successful ALD processes have been developed for all the platinum-group metals. Most of the processes use O2 as a reactant, which relies on the high catalytic activity of platinum-group metals to activate the otherwise © XXXX American Chemical Society

relatively inert molecular oxygen. Low-temperature processes with sequential O3 and H2 pulses, as well as plasma-enhanced processes can also be used.6,7 Several platinum-group metal oxides have also been deposited by ALD.6 ALD of platinum and ruthenium, in particular, has been studied widely, whereas the topic of this study, iridium, has attained less attention, despite its good chemical and physical properties. Several processes have been demonstrated for ALD of iridium, including using Ir(acac)3 with O2,8,9 consecutive O3 and H2,10 as well as consecutive O2 and H2 pulses,11 (MeCp)Ir(CHD) with O212 and consecutive O3 and H2 pulses,13 (EtCp)Ir(COD) with O2,14 H2 plasma,15 and NH3 plasma,16 (EtCp)Ir(CHD) with mixed O2−H2 plasma,17 and even IrF6 together with H2.18 ALD of IrO2, a metallic conducting oxide, has been studied much less compared to the metal itself. Reported ALD IrO2 processes include Ir(acac)3 + O3,19 (MeCp)Ir(CHD) + O3,13 (EtCp)Ir(COD) + O2,14 and (EtCp)Ir(CHD) + mixed O2−H2 plasma.20 Even though a large number of papers have been published on the ALD of platinum-group metals and their oxides, two issues still need attention: nucleation and conformality, as for many of the aforementioned applications it is crucial to deposit very thin, continuous films, often in high aspect ratio structures. Received: September 1, 2016 Revised: September 26, 2016

A

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir

In total, five ALD processes were used, employing iridium acetylacetonate [Ir(acac)3] with different reactants (Table 1). The

Ideally, ALD films would grow in layer-by-layer manner with constant growth rate from the first cycle, which requires fast and uniform nucleation. However, for platinum-group metals this rarely is the case, and instead there is a period of slow growth lasting tens to even hundreds of cycles, before the linear growth regime with constant growth rate is reached.6 This nucleation delay is poorly understood, but critical for many applications, as it hinders the deposition of thin, continuous films, yet on the other hand it enables the controlled deposition of nanoparticles.6,21,22 No detailed studies have been performed on the nucleation of iridium films, and despite several studies, even the nucleation of platinum and ruthenium remains incompletely understood (see ref 6 for detailed discussion). Conformality, which means the ability to deposit films with equal thickness all around 3D substrates with complex shapes, is typically considered as one of the most important and unique features of ALD.1−4 Obtaining conformal films of platinumgroup metals, however, seems to be less straightforward compared to typical ALD materials, such as oxides and nitrides. While near-perfect conformality has been achieved in some studies with Ru and Pt films in structures with a depth-to-width ratio (aspect ratio, AR) of about 15 (i.e., 15:1) to 30,23−29 already this has often required special adjustments, such as long precursor pulses and purges. In addition, there are a few reports demonstrating growth of platinum nanotubes into porous anodic aluminum oxide (AAO) templates with ARs up to about 50−100. However, conformality (wall thickness) of these nanotubes has not been analyzed quantitatively.30−32 To the best of our knowledge, the most conformal ALD platinumgroup metal films have been demonstrated by Vaish et al.,33 who obtained platinum films with excellent conformality up to AR 100 using the (MeCp)PtMe3 + O2 process under carefully optimized conditions. In most cases conformal ALD iridium films have only been demonstrated in structures with AR below 20.10,34−41 However, by using the special flow-through approach42 for enhancing precursor transportation into pores, Pilvi43 deposited conformal iridium films on through-porous structures with AR up to 140. In this study, we used iridium acetylacetonate [Ir(acac)3] with different combinations of reactants (O2, O3, and H2). A total of five processes: one for IrO2 and four for metallic iridium were explored, all featuring combustion-type reactions. Nucleation of the processes was compared by thoroughly characterizing the films grown with a varying number of cycles (5 to 1000). For conformality studies, we used the newly developed microscopic lateral high-aspect-ratio (LHAR) test structures,44 which have extremely demanding structures with AR extending up to thousands, while allowing for convenient and accurate conformality characterization at any given AR.



Table 1. ALD Processes and Deposition Temperatures Used in This Study process (and abbreviation) Ir: Ir(acac)3 + O2 (O2 process) Ir: Ir(acac)3 + air (air process) Ir: Ir(acac)3 + O2 + H2(O2 + H2 process) Ir: Ir(acac)3 + O3 + H2(O3 + H2 process) IrO2: Ir(acac)3 + O3 (O3 process)

deposition temperature 250 250 250 185 185

°C °C °C °C °C

ref. 9 8 11 10 19

most studied iridium process, introduced by Aaltonen et al.,8,9 utilizes oxygen as the reactant. In the first publication,8 however, ambient air was used instead of pure oxygen, assuming that oxygen was the reactive component of air. To study the possible effect of water and other components of air on film nucleation, we used both ambient air and pure oxygen, considering these separately as the air and O2 processes, respectively. We also modified the O2-based process by adding a hydrogen pulse to remove the adsorbed oxygen, thus creating the O2 + H2 process, as reported recently.11 The fourth iridium process was based on the low-temperature chemistry developed by Hämäläinen et al.,10 using consecutive O3 and H2 pulses (the O3 + H2 process). This process was used at 185 °C, although it can operate at as low as 165 °C compared to the lower limit of about 200−225 °C for the oxygen and air processes. Iridium oxide was deposited at 185 °C using ozone (the O3 process), as shown by Hämäläinen et al.19 For nucleation studies, precursor pulse and purge times of 2 s were used, and the number of cycles was varied between 5 and 1000. For conformality studies, the pulse and purge times were 2 and 5 s, respectively, and the target film thickness was 50 nm. The number of cycles was 1500 for the O2 process, 1900 for the O2 + H2 process, 2500 for the O3 + H2 process, and 1750 for the O3 process. For all the processes, Ir(acac)3 (ABCR, 99%) was sublimed from an open glass boat held at 155 °C inside the ALD reactor, and it was pulsed with inert gas valving. Oxygen (O2, AGA, 99.999%), hydrogen (H2, AGA, 99.999%), and ambient air were led into the reactor through needle and solenoid valves and a mass flow meter. Their flow rates were set at 10 sccm during continuous flow, except for the conformality studies, where flow rates of 40 and 20 sccm were used for O2 and H2, respectively. Ozone (O3) was produced with an ozone generator (Wedeco Ozomatic Modular 4 HC) from O2 (AGA, 99.999%). Nominal ozone concentration was 100 g/Nm3 and the total flow rate of the O3−O2 mixture was set to about 40 sccm using a needle valve. Film morphology was studied with scanning electron microscopy (SEM, Hitachi S-4800) and atomic force microscopy (AFM, Veeco Multimode V). AFM imaging was performed in ambient air in tapping mode (intermittent contact mode), using silicon probes (Bruker) with a tip radius of less than 10 nm. AFM images were flattened to remove artifacts caused by sample tilt and scanner nonlinearity. Film roughness was calculated as the root-mean-square value (Rq) from 2 × 2 μm images. Film thicknesses were measured by energy-dispersive X-ray spectroscopy (EDX, Oxford INCA 350 connected to the Hitachi S4800 SEM) and time-of-flight elastic recoil detection analysis (TOFERDA). Bulk densities45 (22.56 g/cm3 for Ir and 11.7 g/cm3 for IrO2) and compositions of Ir and IrO2 were assumed in all thickness calculations. From the EDX spectra film thicknesses were calculated with GMRFilm46 program using Ir L-lines. TOF-ERDA measurements were performed using 79Br7+ ion beam with an energy of 32 or 35 MeV.47 TOF-ERDA thicknesses for iridium were normalized using the EDX thickness for a 500 cycle film grown with the O2 process, whereas IrO2 thicknesses were normalized using a 1000 cycle film. Sheet resistance measurements were performed with a four-point probe (CPS Probe station connected to a Keithley 2400 SourceMeter). For conformality studies, the membrane forming the ceiling of the LHAR test structures was removed with adhesive tape.

EXPERIMENTAL SECTION

Iridium and iridium oxide thin films were deposited in a commercial, hot wall, flow-type, F120 ALD reactor (ASM Microchemistry). Nitrogen (N2, AGA, 99.999%) was used as the carrier and purge gas with a continuous flow rate of 400 sccm, leading to a pressure of about 10 mbar inside the reactor. Films were deposited on silicon (100) substrates with a size of 5 × 5 cm2. Substrates were blown clean with pressurized nitrogen. The native silicon oxide layer was not removed. For conformality tests, we used the LHAR (lateral high-aspect-ratio) structures designed and fabricated by VTT Technical Research Centre of Finland.44 Briefly, the silicon-based LHAR chips consist of several structures with a lateral, microscopic gap under a SiO2-pillar-supported membrane, with aspect ratios up to 5000 or more. B

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir Conformality was estimated using optical microscope (Olympus BX 51), and film thicknesses were measured by SEM cross-section imaging as well as EDX line scans.

depositing Ir at the same temperature, as calculated from the bulk densities and atomic compositions. TOF-ERDA was used to obtain thickness information on even thinner films, with a detection limit of ∼5 × 1013 at./cm2, corresponding to a film thickness of ∼0.01 nm (Figure 2). The



RESULTS AND DISCUSSION Nucleation. To explore the film nucleation, the thickness of films deposited using 5 to 1000 cycles with each process was measured. All the film thicknesses in this study were measured by EDX or TOF-ERDA and converted to a physical thickness assuming bulk densities. They should not be understood to necessarily refer to uniform and continuous films, especially for the thinner films that consist of separate islands. Nevertheless, nanometers are used throughout this paper to facilitate easy comparison and understanding of the results. Film thicknesses were first measured by EDX, which in our setup had a detection limit of approximately 1 nm for iridium, corresponding to films grown using at least 100 cycles (Figure 1). Growth rates and nucleation delays were determined from

Figure 2. Film thicknesses determined by TOF-ERDA up to 100 (a) and 500 cycles (b).

Figure 1. Film thicknesses determined by EDX and lines fitted to the data.

results support the information obtained with EDX, while providing more information on the early nucleation stage. Practically no iridium was detected after 5 or 10 cycles with any of the studied processes. After 25 cycles with the O2 + H2 and O2 processes, the Ir areal density corresponded to a film thickness of 0.03 nm. With the air (0.03 nm) and O3 (0.1 nm of IrO2) processes, films were first detected after 50 cycles, whereas, with the O3 + H2 process, Ir (0.23 nm) was detected only after 100 cycles. After 100 cycles, the film grown with the O2 + H2 process was almost twice as thick as the film grown with the O2 process, despite the EDX-derived growth rate of the former being about 20% lower. Differences in nucleation are seen more clearly, when the evolution of the growth rate is considered as a function of ALD cycles (Figure 3). Fast nucleation of the O2 + H2 process is clearly seen, as the growth rate reached a constant value already between 50 and 100 cycles. The slow nucleation of the O3 + H2 process is corroborated, as the growth rate remained very small even after 100 cycles. Notably, in the O2, air, O3 + H2, and O3 processes, the growth rates were found to exhibit a peak value at 200 cycles, after which the growth rate decreased to reach a value corresponding to the linear growth regime. Puurunen and Vandervorst48 named this kind of growth rate evolution as “type 2 substrate inhibited growth” and it has been linked with island growth in ALD (see ref 49 and references therein). Evolution of film morphology was studied with SEM (Figure 4). The first nuclei were seen after 25 cycles with the O2 and O2 + H2 processes, corroborating the TOF-ERDA results. After 50 cycles, large amounts of nuclei, with diameters of about 5 nm or less, could be seen with most of the processes, excluding the O3

the slopes of the lines fitted to the thickness values, and from the intersections of the lines with the x axis, respectively (Table 2). The O2 + H2 process had, surprisingly, the shortest Table 2. Growth Rates and Nucleation Delays Determined from the EDX Results process

nucleation delay (cycles)

growth rate (Å/cycle)

O2 Air O2 + H2 O3 + H2 O3 (IrO2)

80 70 5 90 120

0.36 0.34 0.26 0.22 0.33

nucleation delay of only 5 cycles, followed by the air (70 cycles), O2 (80 cycles), O3 (90 cycles) as well as the O3 + H2 processes (120 cycles). To the best of our knowledge, nucleation delays have not been previously determined for ALD iridium films. For other ALD platinum-group metal films, nucleation delays from tens to even a few hundreds of cycles have generally been observed.6 Growth rates were in line with previous reports as the O2, and air processes had the largest growth rates (∼0.35 Å/cycle). Addition of the H2 pulse in the O2 + H2 process reduced the growth rate by about 20%, in accordance with our previous studies,11 and the O3 + H2 process had an even smaller growth rate of about 0.2 Å/cycle. The O3 process that deposits IrO2 had a growth rate of 0.33 Å/cycle, therefore depositing about one-third less Ir atoms/cycle than the O3 + H2 process C

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir

exposing larger areas of the substrate. Continuity of these three films was confirmed with four-point probe measurements. Morphology evolution of IrO2 films is less clear, but after 100 cycles, rather large islands (10 to 20 nm) were formed, and after 200 cycles the film appeared to be continuous, as its resistance could be measured. After 500 cycles, all the films seemed to cover the substrate completely, which means that a dense, hole-free film was formed in the thickness range of 5 to 15 nm; possibly quite close to 5 nm, considering that at this thickness the films were already conductive. Previously reported minimum thicknesses for iridium films covering Al2O3 substrate are 9 nm using the Ir(acac)3 + air process at 300 °C,8 6 nm using the Ir(acac)3 + O2 process at 350 °C,50 and 7 nm using the Ir(acac)3 + O3 + H2 process at 185 °C.10 We are not aware of such reports for iridium oxide. We obtained quantitative results on film roughness by AFM (Figure 5), which can yield valuable information on the film nucleation. In the beginning of the film growth, an increase of roughness was caused by the formation of nuclei on the smooth, native oxide covered silicon surface (Rq = 0.2 nm). Especially the slow nucleation of the ozone-based processes was corroborated by their low roughness up to 50 cycles. With the O2 + H2 process, the film roughness stayed rather low during the nucleation period, which is probably a consequence of the large amount of nuclei formed. In between these extremes, the

Figure 3. Growth rates calculated from the TOF-ERDA results shown in Figure 2.

+ H2 process. After 100 cycles, the nuclei had already started to coalesce in the air and O2 + H2 processes, forming elongated islands with lateral sizes of approximately 10 to 20 nm. At that point, the films grown with the O2 and O3 + H2 processes still consisted of separate nuclei with diameters of 5 to 10 nm. After 200 cycles, a continuous network of grains with only some holes exposing the substrate had formed in the O2 + H2 process. Films grown with the air and O2 processes had also coalesced forming a continuous structure, although still

Figure 4. SEM images of iridium and iridium oxide films grown with different processes (columns) and different number of cycles (rows). D

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir

propose that the slow nucleation of the ozone-processes may be due to film etching by ozone, as discussed below. Attempts were made to use ozone at 250 °C and higher temperatures, because the nucleation of ALD platinum films has been observed to improve when using O3 instead of O2 at these temperatures.59 However, on 5 × 5 cm2 substrates, we generally observed no film growth within the first 1 to 3 cm closest to the ozone inlet, whereas Ir film was formed on the rest of the substrate. By varying the deposition temperature and different ozone-related variables (ozone concentration, flow rate, and pulse length), we could see that increasing any of these decreased the film coverage on the substrate. Therefore, it seems likely that ozone is etching the films. Experiments to etch previously deposited films using ozone at 250 to 350 °C, however, failed. The etching hypothesis is supported by experiments using Ir(acac)3 + O2 + O3 pulsing at 250 °C, in which case no film was obtained, whereas the Ir(acac)3 + O2 process successfully deposited Ir films at the same temperature. As it is generally known that small nanoparticles are very reactive because of their large surface-to-volume ratio, we propose that under our experimental conditions etching of only small nuclei or particles is feasible. Kil et al.60 claimed in their patent that etching of ALD iridium films is possible using ozone concentrations of 250−350 g/Nm3, whereas we were limited to a concentration of 150 g/Nm3 or less. In addition, etching or volatilization of iridium has been observed at high temperatures of ∼1000 °C in oxygen atmosphere, in which case IrO3 has usually been assumed to be the volatile species, although this has not been verified.61−63 Finally, it should be noted that volatile, highoxidation state oxides of group 8 elements, RuO4 and OsO4, are well-known, and can cause etching of Ru and Os films under certain ALD conditions. Conformality. For conformality studies we used the microscopic LHAR test structures specifically designed for this purpose.44 Each LHAR chip consists of several lateral structures, where the ceiling is formed by an easily removable, pillar-supported silicon membrane (Figure 6). They allow accurate conformality characterization at any aspect ratio, up to extremely high values (5000−25000). Films were grown with the O2, O2 + H2, O3 + H2, and O3 processes using mostly LHAR structures with a gap height of 500 nm. In addition, different gap heights (200, 500, and 1000 nm) were compared using the O2 process. After the film growth, the top membrane was removed for the observation of the film grown on the bottom of the structure. To reach a thickness of approximately 50 nm with each process, the number of deposition cycles was set at 1500 (O2 process), 1900 (O2 + H2 process), 2500 (O3 + H2 process), and 1750 (O3 process). Optical visible-light microscopy can, in some cases, be used to characterize thin film conformality in LHAR structures nondestructively, i.e., without removing the top membrane.44 As iridium films are not transparent to visible light, the membrane had to be removed for analysis; even then, no information could be obtained of the thickness gradient. However, by observing the color of the exposed surfaces it could be clearly seen that the O3 + H2 process yielded the most conformal films, with the visible film extending up to 50 μm (AR 100) inside the structure, much further than the 30 μm (AR 60) observed with the O2 and O2 + H2 processes (Figure 7). Interesting results were obtained with the O3 process: close to the opening of the structure the film had brown color, characteristic for IrO2 film of such thickness, whereas the color

Figure 5. Film roughness (Rq) measured by AFM up to 100 (a) and 500 (b) cycles.

nucleation of the O2 process seemed to lead to the roughest films, before the formation of a continuous film. When considering the thickest, 1000 cycle films, the O3 + H2 process produced the smoothest metal films, followed by the O2 and air processes with equal roughness, whereas the O2 + H2 process produced the roughest films. The IrO2 films grown with the O3 process were clearly rougher than the metallic films. Importantly, with all the processes, the roughness increased up to a certain number of cycles (50 for the O2 + H2 and 100 for the other processes), before decreasing temporarily, and then increasing again. This is in accordance with the simulations of island growth in ALD by Nilsen et al.51,52 as well as experimental studies on, for example, HfO2,53 Pt,54−56 and Ru57 ALD. To summarize the observations, the order of nucleation, from the fastest to the slowest, was O2 + H2 > air ≈ O2 > O3 (IrO2) > O3 + H2. The fast nucleation of the recently reported O2 + H2 process is presently not thoroughly understood, but it may be due to differences in reaction mechanisms. In our previous work,11 we proposed that the role of the H2 pulse is to remove adsorbed oxygen that is otherwise left on the Ir surface until the next Ir(acac)3 pulse. This would change the reactions occurring during the Ir(acac)3 pulse from partial combustion of Ir(acac)3 (O2 process) to precursor adsorption only (O2 + H2 process). We explained the observed differences in film properties with changes in surface diffusion originating from the different reactions and surface composition, and although the situation during nucleation is clearly different to the growth of thicker films, changes in surface diffusion may play a role in nucleation, too. Differences between the air and O2 processes were minor, although previous reports have shown that increasing partial pressure of oxygen22,58 or using O2 instead of air9 can improve nucleation of platinum-group metals. Initially it was assumed that the O3-based processes would nucleate the fastest due to the high reactivity of ozone. We E

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir

Figure 8. Film thickness versus distance and aspect ratio in structures with 500 nm gap height. Thicknesses were measured by EDX after the membrane was removed.

images. An apparent increase in the iridium thickness near the opening of the structure most likely corresponds to a change in film composition from oxide to metallic, and the accompanying change in the molar density of iridium. It should be noted that the film thickness calculated as IrO2 was about 50 nm at AR 0, i.e., equal to the target thickness. Unfortunately oxygen could not be quantified both due to limitations of the EDX method and because the membrane was supported by SiO2 pillars. After the initial increase, the apparent thickness of iridium diminished faster than in any other process studied here, and no Ir was detected at AR 50. LHAR structures with different gap heights were compared using the O2 process. The gap height, of course, affects the film thickness at a certain distance from the opening such that a smaller gap height led to smaller penetration of the film into the gap (Figure 9a). Once the height was taken into account by considering AR instead of distance, the effect of the gap height was quite small (Figure 9b). The best conformality was seen in

Figure 6. Schematic view of one of the LHAR structures from top (a) and cross-section (b). A film grown in the structure is schematically shown in black.

Figure 7. Top-view optical microscopy images taken after the removal of the top membrane. Gap height is 500 nm. The dark dots are pillars that supported the membrane.

quickly changed to metallic inside the structure, implying a change in the film composition. Quantitative conformality information using the LHAR structures can be obtained by measuring EDX linescans (Figure 8) after the removal of the top membrane. Good conformality of the O3 + H2 process is further supported, as the film thickness was unchanged up to an aspect ratio of 20, and about 80% conformality was still obtained at AR 70. With the O2 process, film thickness declined steadily from the very entrance of the structures, whereas with the O2 + H2 process, the decline in thickness was initially slow, but sped up at ARs above 20. The O3 process growing IrO2 on planar substrates yielded interesting results. Here, the film thickness was calculated as metallic iridium throughout the structure, as a change in composition was suspected based on the optical microscope

Figure 9. Film thickness versus distance (a) and aspect ratio (b) with different gap heights using the O2 process. Thicknesses were measured by EDX after the membrane was removed. F

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir

Figure 10. Cross-sectional SEM images of films grown with the O3 and O3 + H2 processes in the LHAR structures. The images have been taken with the top membrane in place.

was IrO2, but the composition changed to metallic iridium fully before reaching AR 20. We found that the conformality of the studied processes declined in the order O3 + H2 > O2 + H2 > O2 > O3. The demonstrated conformality of the O3 + H2 process is excellent compared to most previous studies on ALD platinum-group metals (Table 3). Also, the 80−90% conformality at AR 20 achieved with the O2 and the O2 + H2 processes compares well to the other studies. A detailed comparison between different studies is not straightforward, however, as in addition to the deposition conditions, the test structures can also affect the conformality. Most structures can be classified as either holes (circular cross-section) or trenches (elongated rectangular cross-section). In addition, the trench-type LHAR structures used in this study are lateral, in comparison to most other structures that are vertical. Compared to the O3 + H2 process, only Vaish et al.33 have, to the best of our knowledge, achieved better conformality of a platinum-group metal film and even then with a long cycle time, of more than 3 min, and at a single temperature of 250 °C out of a wide range studied (200−500 °C). They used the (MeCp)PtMe3 + O2 process in hole structures with a diameter of 200 nm. Interestingly, already a 25

structures with 500 nm gap height, followed by the structures with 1000 and 200 nm heights. The same order was seen with TiO2 films by Gao et al.44 using similar LHAR structures. In the 200 nm structure, a 50 nm thick film naturally increases the AR considerably, up to twice the original value at the end of the deposition, whereas the reason for the slightly worse conformality in the 1000 nm structures compared to the 500 nm structures is not known. Cross-sectional SEM is probably the most widely used method for film conformality analysis. In this case, we used it to corroborate the results obtained by EDX, and to confirm the compositional changes observed by optical microscopy. Excellent conformality of the O3 + H2 process was also seen in the SEM images, and the film thicknesses determined from the SEM images corresponded to the ones measured by EDX (Figure 10). For the O3 process, the film thickness appeared to decrease monotonously in SEM, in contrast to the apparent increase of iridium detected by EDX, as discussed above. In addition, the film thickness observed outside the structure (54 nm) corresponded to that determined by EDX, assuming IrO2 stoichiometry and density45 (11.6 g/cm3), whereas the thickness at AR 20 (37 nm) corresponded to that calculated as metallic Ir. This confirms that, outside the structure, the film G

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir Table 3. Conformality Results of Platinum-Group Metal Films Deposited by Thermal ALD typeb, AR and width of the structure

film

process and deposition temperature (°C)

Ir

Ir(acac)3 + O2, 250

2-5-2-5

t, 10000, 500 nm

Ir

Ir(acac)3 + O2, 300

h, 50, 350 nm

Ir Ir

Ir(acac)3 + O2, ? Ir(acac)3 + O2, 350

Ir

Ir(acac)3 + O2 + H2, 250

5-5-2-5 10-5-2-5 ? 1.5-120-1.5-120-0.7120e 2-5-2-5-2-5

Ir

Ir(acac)3 + O3 + H2, 250

2-5-2-5-2-5

t, 10000, 500 nm

Ir Ir/Pt Pt Pt Pt

Pt

(MeCp)PtMe3 + O2, 300

Pt

(MeCp)PtMe3 + O3, 150

5-5-5-5-5-5 7/5-5/2-2-2 2.25-30-1.3-30 4-10-1-10 80-30-50-30 80-30-50-30 1-5-2-5 10-5-2-5 1-5-0.1-5 30-5-30-5 10-5-10-5 25-50-20-50

t, 8, 200 nm t, 19, 1 μm h, 17, 80 nm t, 11, 120 nm h, 300, 200 nm

Pt

Ir(acac)3 + O3 + H2, 165 Ir(acac)3/(MeCp)PtMe3 + O2, 300 (MeCp)PtMe3 + O2, 250 (MeCp)PtMe3 + O2, 300 (MeCp)PtMe3 + O2, 300 250 (MeCp)PtMe3 + O2, 300

Pt Ru

?-60-?-60 5-10-0.5-10

h, 120, 200 nm h, 25, 85 nm

5-10-0.5-10

h, 32, 85 nm

Ru Ru Ru Ru Ru Ru Ru Ru Ru

Pt(acac)2 + O3, 150 (iPrMeBen)Ru(CHD) + O2, 310 225−270 (EtBen)Ru(EtCHD) + O2, 310 225−270 (EtBen)Ru(CHD) + O2, 225 (EtCp)RuPy + O2, 275 (EtCp)RuPy + O2, ? Ru(EtCp)2 + O2, 270 Ru(EtCp)2 + O2, 265 Ru(EtCp)2 + O2, 300 Ru(EtCp)2 + O3, ? (DMPD)Ru(EtCp) + O2, 250 (DMPD)Ru(EtCp) + O2, 280

5-10-1-10 12-6-8-6 ? ?-20-?-20 2-2-2-2 5-5-10-5 ? 3-?-3-? 3-5-3-5

Ru

Ru(Me3CHD)2 + O2, 300

5-15-1-15

h, 5, 25 nm h, 20, 100 nm h, 25, 6 μm t, 8, 200 nm h, 16, 110 nm h, 10, 50 nm h 16, 110 nm h, 17, 150 nm h, 10, 200 nm h, 10, 80 nm h, 200, 200 nm

Ru

pulse times (s)a

different structures, AR 5−20 h, 140, 20 μme t, 10000, 500 nm

h, 200, 90 nm h, 200, 300 nm h, 90, 1 μm h, 200, 23 nm

conformality (AR/relative thicknessc)

ref.

20/80% 50/30% 6/d 25/d good, not quantified 140/75%

this study

20/90% 40/50% 20/∼100% 70/80% 110/d 8/∼100% 19/85% 17/∼100% 11/∼100% 50/85% 100/90% 30/d 90/d 35/d 70/d 90/f 20/∼100% 35/50% 110/d 25/75% 25/95% 32/75% 32/∼100% 5/∼100% 20/>50% 25/90% 8/70% 16/∼100% 10/f 16/80% 17/90% 10/∼100% 10/g 75/d

this study

36 34,35,37,39−41,69 43

this study

10 70 24 71 33 30 31

25 32 23,28 26 72 73 74 75 29 76 77 27 78 79

a

Given in seconds in the format metal precursor−purge−reactant−purge. Possible exposure times have been included in the pulse times. bt = trench, h = hole. cRelative film thickness is defined as the film thickness at the given AR divided by the film thickness at the opening of the structure. dFilm ends as viewed with SEM. eThrough-porous structure coated with the special flow-through approach.42 Ir(acac)3 was pulsed twice in each cycle separated by a purge. fLength of nanotubes after the structure was removed. gHole was completely filled with Ru.

°C deviation in either direction from the optimum temperature decreased the conformality of Pt films drastically. It is known that the conformality of ALD films depends on several experimental variables, such as precursors, deposition chemistry, pulse and purge times, precursor partial pressures, and deposition temperature.24,33,64−68 In general, ALD film conformality is assumed to be limited by precursor diffusion into the high AR structures,64−66 and the best conformality is thus usually achieved with high vapor pressure precursors. In the present processes, Ir(acac)3 could then be expected to be the limiting precursor. However, we found substantial differences between the studied processes, where only the gaseous reactant was changed. Elam et al.64 suggested that conformality can also be surface reaction limited, if at least one of the

precursors has low surface reaction probability. This might be the case for platinum-group metals, as they typically show delayed nucleation, which further affects conformality. Nucleation of ALD Pt films has been shown to be strongly affected by partial pressure of oxygen, such that an increase in O2 partial pressure improves the nucleation, whereas below a certain threshold pressure, no nucleation occurs.22 In high aspect ratio structures, the partial pressure of oxygen decreases quickly, and this can be one explanation for the limited conformality of ALD platinum-group metal films in general. Different reactants (O2 or O3), and consequently different reaction mechanisms, may evidently also contribute to the differences observed. In the O3 process at 185 °C, the conformality was found to be worse than in any of the Ir H

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir processes, at either 185 (O3 + H2) or 250 °C (O2 and O2 + H2); the composition change, as well as the rapid decrease in thickness, can be understood, if the decomposition of ozone 2O3 → 3O2

We studied the conformality of the O2, O2 + H2, O3 + H2, and O3 (IrO2) processes using the microscopic LHAR conformality test structures. The low-temperature O3 + H2 process showed the best conformality among the studied processes, with 80% conformality achieved at AR 70. To the best of our knowledge, this is also the best conformality result among all the ALD platinum-group metal films, without using very long cycle times of several minutes. The O2 and O2 + H2 processes also showed reasonably good conformality, whereas the conformality of the O3 process was poor. In the case of the O3 process, the film composition changed from IrO2 to metallic Ir before AR 20 was reached. Conformality studies examining the effects of different parameters, such as temperature and precursor partial pressures, would be an interesting continuation to this study. In this way, it would likely be possible to further improve the conformality of the O3 + H2 process. The present results should also encourage the use of the O3 + H2 process as an enabler of Ir film deposition in highly demanding structures and applications.

(1)

occurs faster on IrO2 surfacecompared to metallic Ir. At 185 °C, Ir(acac)3 does not react with O2, and thus the film growth stops when O3 becomes depleted in the LHAR structures. The change of film composition from IrO2 to Ir inside the LHAR structures can also derive from the O3 depletion, both because of the lowered oxidant concentration, and because incomplete combustion of ligands in Ir(acac)3 can generate byproducts with reducing character, such as CO and hydrocarbons. Combustion of the ligands is a complicated process, but for simplicity, for one surface-bound acac ligand (* marks surface species) in oxygen poor conditions, a reaction producing a mixture of byproducts in unknown ratios can be written as C5H 7O* + nO2 → xCO + yCO2 + z H 2O + qCa Hb



(2)

In the confined LHAR structures, the byproducts also have longer residence times, which should further promote the reduction of IrO2 to metallic Ir, by CO, for example IrO2 + 2CO → Ir + 2CO2

Corresponding Author

*E-mail: miika.mattinen@helsinki.fi.

(3)

Notes

The authors declare no competing financial interest.

We note that IrO2 is known to be reduced easily by hydrogen, a fact that is exploited during the H2 pulse of the O3 + H2 process: IrO2 + 2H 2 → Ir + 2H 2O

(4)

(x = 3 or 4)



ACKNOWLEDGMENTS



REFERENCES

The work has been supported by the Finnish Centre of Excellence in Atomic Layer Deposition.

We found the nucleation to be slow in the O3 + H2 process, which could be expected to lead to poor conformality, but the opposite was actually observed. If the proposed etching Ir + x /3O3 → IrOx

AUTHOR INFORMATION

(1) Ritala, M.; Niinistö, J. Atomic Layer Deposition. In Chemical Vapour Deposition: Precursors, Processes and Applications; Jones, A. C., Hitchman, M. L., Eds.; Royal Society of Chemistry: Cambridge, U.K., 2009; Chapter 4, pp 158−206. (2) Johnson, R. W.; Hultqvist, A.; Bent, S. F. A Brief Review of Atomic Layer Deposition: From Fundamentals to Applications. Mater. Today 2014, 17, 236−246. (3) Knoops, H. C. M.; Potts, S. E.; Bol, A. A.; Kessels, W. M. M. Atomic Layer Deposition. In Handbook of Crystal Growth; Kuech, T., Ed.; Elsevier B.V.: Amsterdam, The Netherlands, 2015; Vol. 3, Chapter 27, pp 1101−1134. (4) George, S. M. Atomic Layer Deposition: An Overview. Chem. Rev. 2010, 110, 111−131. (5) Renner, H.; Schlamp, G.; Kleinwächter, I.; Drost, E.; Lüschow, H. M.; Tews, P.; Panster, P.; Diehl, M. Platinum Group Metals and Compounds. In Ullmann’s Encyclopedia of Industrial Chemistry; WileyVCH: Weinheim, Germany, 2012; Vol. 28, pp 317−388. (6) Hämäläinen, J.; Ritala, M.; Leskelä, M. Atomic Layer Deposition of Noble Metals and Their Oxides. Chem. Mater. 2014, 26, 786−801. (7) Profijt, H. B.; Potts, S. E.; van de Sanden, M. C. M.; Kessels, W. M. M. Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges. J. Vac. Sci. Technol., A 2011, 29, 050801. (8) Aaltonen, T.; Ritala, M.; Sammelselg, V.; Leskelä, M. Atomic Layer Deposition of Iridium Thin Films. J. Electrochem. Soc. 2004, 151, G489−G492. (9) Aaltonen, T.; Ritala, M.; Tung, Y.-L.; Chi, Y.; Arstila, K.; Meinander, K.; Leskelä, M. Atomic Layer Deposition of Noble Metals: Exploration of the Low Limit of the Deposition Temperature. J. Mater. Res. 2004, 19, 3353−3358. (10) Hämäläinen, J.; Puukilainen, E.; Kemell, M.; Costelle, L.; Ritala, M.; Leskelä, M. Atomic Layer Deposition of Iridium Thin Films by Consecutive Oxidation and Reduction Steps. Chem. Mater. 2009, 21, 4868−4872.

(5)

takes place also at 185 °C, this would likely be minimized inside the structure, as the partial pressure of O3 rapidly decreases inside the LHAR structures. On the other hand, strong etching, and the corresponding transport of the film as a volatile species could also lead to increased thickness inside the LHAR structure, which was not observed. Comparing the conformality to the O3 process, it appears that ozone decomposition is much less effective in the O3 + H2 process. The excellent conformality of the O3 + H2 process is not completely understood, but we believe the present results warrant further studies on the subject.



CONCLUSIONS In this study, two important aspects of platinum-group metal ALD, nucleation and conformality, were explored systematically. This is the first study considering these two intertwined issues for iridium ALD. We used four processes to grow metallic iridium, using Ir(acac)3 with O2, air, consecutive O2 and H2 pulses, and consecutive O3 and H2 pulses. IrO2 was grown using O3 as the reactant. Nucleation was found to be the fastest in the O2 + H2 process, followed by the air, O2, O3 (IrO2), and O3 + H2 processes. Conducting films were formed already after 200 cycles at a thickness of about 5 nm with the O2 + H2, O2, air, and O3 processes. Nucleation of platinumgroup metal films still remains a rather poorly understood issue, and further studies focusing on precursor partial pressure, pulse times, and deposition temperature, for example, or even mechanistic details, would be highly interesting. I

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir

(29) Kim, J.-H.; Kil, D.-S.; Yeom, S.-J.; Roh, J.-S.; Kwak, N.-J.; Kim, J.-W. Modified Atomic Layer Deposition of RuO2 Thin Films for Capacitor Electrodes. Appl. Phys. Lett. 2007, 91, 052908. (30) Comstock, D. J.; Christensen, S. T.; Elam, J. W.; Pellin, M. J.; Hersam, M. C. Tuning the Composition and Nanostructure of Pt/Ir Films via Anodized Aluminum Oxide Templated Atomic Layer Deposition. Adv. Funct. Mater. 2010, 20, 3099−3105. (31) Gu, D.; Baumgart, H.; Tapily, K.; Shrestha, P.; Namkoong, G.; Ao, X.; Müller, F. Precise Control of Highly Ordered Arrays of Nested Semiconductor/Metal Nanotubes. Nano Res. 2011, 4, 164−170. (32) Assaud, L.; Schumacher, J.; Tafel, A.; Bochmann, S.; Christiansen, S.; Bachmann, J. Systematic Increase of Electrocatalytic Turnover at Nanoporous Platinum Surfaces Prepared by Atomic Layer Deposition. J. Mater. Chem. A 2015, 3, 8450−8458. (33) Vaish, A.; Krueger, S.; Dimitriou, M.; Majkrzak, C.; Vanderah, D. J.; Chen, L.; Gawrisch, K. Enhancing the Platinum Atomic Layer Deposition Infiltration Depth inside Anodic Alumina Nanoporous Membrane. J. Vac. Sci. Technol., A 2015, 33, 01A148. (34) Jefimovs, K.; Vila-Comamala, J.; Pilvi, T.; Raabe, J.; Ritala, M.; David, C. Zone-Doubling Technique to Produce Ultrahigh-Resolution X-Ray Optics. Phys. Rev. Lett. 2007, 99, 264801. (35) Vila-Comamala, J.; Gorelick, S.; Färm, E.; Kewish, C. M.; Diaz, A.; Barrett, R.; Guzenko, V. A.; Ritala, M.; David, C. Ultra-High Resolution Zone-Doubled Diffractive X-Ray Optics for the Multi-keV Regime. Opt. Express 2011, 19, 175−184. (36) Comstock, D. J.; Christensen, S. T.; Elam, J. W.; Pellin, M. J.; Hersam, M. C. Synthesis of Nanoporous Activated Iridium Oxide Films by Anodized Aluminum Oxide Templated Atomic Layer Deposition. Electrochem. Commun. 2010, 12, 1543−1546. (37) Vila-Comamala, J.; Jefimovs, K.; Raabe, J.; Pilvi, T.; Fink, R. H.; Senoner, M.; Maaßdorf, A.; Ritala, M.; David, C. Advanced Thin Film Technology for Ultrahigh Resolution X-Ray Microscopy. Ultramicroscopy 2009, 109, 1360−1364. (38) Ogawa, T.; Ezoe, Y.; Moriyama, T.; Mitsuishi, I.; Kakiuchi, T.; Ohashi, T.; Mitsuda, K.; Putkonen, M. Iridium-Coated Micropore XRay Optics Using Dry Etching of a Silicon Wafer and Atomic Layer Deposition. Appl. Opt. 2013, 52, 5949−5956. (39) Kang, G.; Matikainen, A.; Stenberg, P.; Färm, E.; Li, P.; Ritala, M.; Vahimaa, P.; Honkanen, S.; Tan, X. High Aspect-Ratio Iridium Coated Nanopillars for Highly Reproducible Surface-Enhanced Raman Scattering (SERS). ACS Appl. Mater. Interfaces 2015, 7, 11452−11459. (40) Vila-Comamala, J.; Gorelick, S.; Guzenko, V. A.; Färm, E.; Ritala, M.; David, C. Dense High Aspect Ratio Hydrogen Silsesquioxane Nanostructures by 100 keV Electron Beam Lithography. Nanotechnology 2010, 21, 285305. (41) David, C.; Gorelick, S.; Rutishauser, S.; Krzywinski, J.; VilaComamala, J.; Guzenko, V. A.; Bunk, O.; Färm, E.; Ritala, M.; Cammarata, M.; Fritz, D. M.; Barrett, R.; Samoylova, L.; Grünert, J.; Sinn, H. Nanofocusing of Hard X-Ray Free Electron Laser Pulses Using Diamond Based Fresnel Zone Plates. Sci. Rep. 2011, 1, 57. (42) Ritala, M.; Kemell, M.; Lautala, M.; Niskanen, A.; Leskelä, M.; Lindfors, S. Rapid Coating of Through-Porous Substrates by Atomic Layer Deposition. Chem. Vap. Deposition 2006, 12, 655−658. (43) Pilvi, T. Atomic Layer Deposition for Optical Applications: Metal Fluoride Thin Films and Novel Devices. Ph.D. Thesis, University of Helsinki, 2008; http://urn.fi/URN:ISBN:978-952-105133-3. (44) Gao, F.; Arpiainen, S.; Puurunen, R. L. Microscopic SiliconBased Lateral High-Aspect-Ratio Structures for Thin Film Conformality Analysis. J. Vac. Sci. Technol., A 2015, 33, 010601. (45) CRC Handbook of Chemistry and Physics, 94th ed. [Online]; CRC Press: Roca Baton, FL, 2013−2014; http://hbcpnetbase.com (accessed April 20, 2014). (46) Waldo, R. A. An Iteration Procedure to Calculate Film Compositions and Thicknesses in Electron-Probe Microanalysis. In Microbeam Analysis; Newbury, D. E., Ed.; San Francisco Press: San Francisco, 1988; pp 310−314. (47) Jokinen, J.; Keinonen, J.; Tikkanen, P.; Kuronen, A.; Ahlgren, T.; Nordlund, K. Comparison of TOF-ERDA and Nuclear Resonance

(11) Mattinen, M.; Hämäläinen, J.; Vehkamäki, M.; Heikkilä, M. J.; Mizohata, K.; Jalkanen, P.; Räisänen, J.; Ritala, M.; Leskelä, M. Atomic Layer Deposition of Iridium Thin Films Using Sequential Oxygen and Hydrogen Pulses. J. Phys. Chem. C 2016, 120, 15235−15243. (12) Hämäläinen, J.; Hatanpäa,̈ T.; Puukilainen, E.; Costelle, L.; Pilvi, T.; Ritala, M.; Leskelä, M. MeCp)Ir(CHD) and Molecular Oxygen as Precursors in Atomic Layer Deposition of Iridium. J. Mater. Chem. 2010, 20, 7669−7675. (13) Hämäläinen, J.; Hatanpäa,̈ T.; Puukilainen, E.; Sajavaara, T.; Ritala, M.; Leskelä, M. Iridium Metal and Iridium Oxide Thin Films Grown by Atomic Layer Deposition at Low Temperatures. J. Mater. Chem. 2011, 21, 16488−16493. (14) Kim, S.-W.; Kwon, S.-H.; Kwak, D.-K.; Kang, S.-W. Phase Control of Iridium and Iridium Oxide Thin Films in Atomic Layer Deposition. J. Appl. Phys. 2008, 103, 023517. (15) Kim, M. R.; Lee, J. H.; Choi, B. H. Effect of Hydrogen Plasma on Growth of Ir Thin Film by Plasma-Enhanced Hybrid Atomic Layer Deposition. Microelectron. Eng. 2012, 98, 400−404. (16) Kim, S.-W.; Kwon, S.-H.; Jeong, S.-J.; Park, J.-S.; Kang, S.-W. Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process. Electrochem. SolidState Lett. 2008, 11, H303−H305. (17) Kim, K.; Lee, S. Integration of Lead Zirconium Titanate Thin Films for High Density Ferroelectric Random Access Memory. J. Appl. Phys. 2006, 100, 051604. (18) Dussarrat, C.; Gatineau, J. High Purity Iridium Thin Films Depositions Using the Inorganic IrF6. Proc. Electrochem. Soc. 2005, 2005−05, 354. (19) Hämäläinen, J.; Kemell, M.; Munnik, F.; Kreissig, U.; Ritala, M.; Leskelä, M. Atomic Layer Deposition of Iridium Oxide Thin Films from Ir(acac)3 and Ozone. Chem. Mater. 2008, 20, 2903−2907. (20) Choi, S.; Cha, Y.-K.; Seo, B.-S.; Park, S.; Park, J.-H.; Shin, S.; Seol, K. S.; Park, J.-B.; Jung, Y.-S.; Park, Y.; Park, Y.; Yoo, I.-K.; Choi, S.-H. Atomic-Layer Deposited IrO2 Nanodots for Charge-Trap FlashMemory Devices. J. Phys. D: Appl. Phys. 2007, 40, 1426−1429. (21) Mackus, A. J. M.; Weber, M. J.; Thissen, N. F. W.; GarciaAlonso, D.; Vervuurt, R. H. J.; Assali, S.; Bol, A. A.; Verheijen, M. A.; Kessels, W. M. M. Atomic Layer Deposition of Pd and Pt Nanoparticles for Catalysis: On the Mechanisms of Nanoparticle Formation. Nanotechnology 2016, 27, 034001. (22) Mackus, A. J. M.; Verheijen, M. A.; Leick, N.; Bol, A. A.; Kessels, W. M. M. Influence of Oxygen Exposure on the Nucleation of Platinum Atomic Layer Deposition: Consequences for Film Growth, Nanopatterning, and Nanoparticle Synthesis. Chem. Mater. 2013, 25, 1905−1911. (23) Choi, S.-H.; Cheon, T.; Kim, S.-H.; Kang, D.-H.; Park, G.-S.; Kim, S. Thermal Atomic Layer Deposition (ALD) of Ru Films for Cu Direct Plating. J. Electrochem. Soc. 2011, 158, D351−D356. (24) Pardon, G.; Gatty, H. K.; Stemme, G.; van der Wijngaart, W.; Roxhed, N. Pt-Al2O3 Dual Layer Atomic Layer Deposition Coating in High Aspect Ratio Nanopores. Nanotechnology 2013, 24, 015602. (25) Dendooven, J.; Ramachandran, R. K.; Devloo-Casier, K.; Rampelberg, G.; Filez, M.; Poelman, H.; Marin, G. B.; Fonda, E.; Detavernier, C. Low-Temperature Atomic Layer Deposition of Platinum Using (Methylcyclopentadienyl)trimethylplatinum and Ozone. J. Phys. Chem. C 2013, 117, 20557−20561. (26) Hong, T. E.; Choi, S.-H.; Yeo, S.; Park, J.-Y.; Kim, S.-H.; Cheon, T.; Kim, H.; Kim, M.-K.; Kim, H. Atomic Layer Deposition of Ru Thin Films Using a Ru(0) Metallorganic Precursor and O2. ECS J. Solid State Sci. Technol. 2013, 2, P47−P53. (27) Kim, S. K.; Lee, S. Y.; Lee, S. W.; Hwang, G. W.; Hwang, C. S.; Lee, J. W.; Jeong, J. Atomic Layer Deposition of Ru Thin Films Using 2,4-(Dimethylpentadienyl) (ethylcyclopentadienyl)Ru by a Liquid Injection System. J. Electrochem. Soc. 2007, 154, D95−D101. (28) Eom, T.-K.; Sari, W.; Choi, K.-J.; Shin, W.-C.; Kim, J. H.; Lee, D.-J.; Kim, K.-B.; Sohn, H.; Kim, S.-H. Low Temperature Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and O2. Electrochem. Solid-State Lett. 2009, 12, D85−D88. J

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX

Article

Langmuir Reaction Techniques for Range Profile Measurements of keV Energy Implants. Nucl. Instrum. Methods Phys. Res., Sect. B 1996, 119, 533− 542. (48) Puurunen, R. L.; Vandervorst, W. Island Growth as a Growth Mode in Atomic Layer Deposition: A Phenomenological Model. J. Appl. Phys. 2004, 96, 7686. (49) Puurunen, R. L. Surface Chemistry of Atomic Layer Deposition: A Case Study for the Trimethylaluminum/water Process. J. Appl. Phys. 2005, 97, 121301. (50) Szeghalmi, A.; Arnold, M.; Berger, A.; Schammelt, N.; Fuechsel, K.; Knez, M.; Kley, E. B.; Zahn, D. R. T.; Tuennermann, A. Atomic Layer Deposition of Iridium Thin Films and Their Application in Gold Electrodeposition. Proc. SPIE 2011, 8168, 81680K. (51) Nilsen, O.; Karlsen, O. B.; Kjekshus, A.; Fjellvåg, H. Simulation of Growth Dynamics in Atomic Layer Deposition. Part I. Amorphous Films. Thin Solid Films 2007, 515, 4527−4537. (52) Nilsen, O.; Karlsen, O. B.; Kjekshus, A.; Fjellvåg, H. Simulation of Growth Dynamics in Atomic Layer Deposition. Part II. Polycrystalline Films from Cubic Crystallites. Thin Solid Films 2007, 515, 4538− 4549. (53) Kolanek, K.; Tallarida, M.; Michling, M.; Schmeisser, D. In Situ Study of the Atomic Layer Deposition of HfO2 on Si. J. Vac. Sci. Technol., A 2012, 30, 01A143. (54) Ge, L.; Hu, C.; Zhu, Z.; Zhang, W.; Wu, D.; Zhang, S. Influence of Surface Preparation on Atomic Layer Deposition of Pt Films. J. Semicond. 2012, 33, 083003. (55) Shrestha, P.; Gu, D.; Tran, N. H.; Tapily, K.; Baumgart, H.; Namkoong, G. Investigation of Volmer-Weber Growth during the Nucleation Phase of ALD Platinum Thin Films and Template Based Platinum Nanotubes. ECS Trans. 2010, 33 (2), 127−134. (56) Jiang, X.; Gür, T. M.; Prinz, F. B.; Bent, S. F. Atomic Layer Deposition (ALD) Co-Deposited Pt−Ru Binary and Pt Skin Catalysts for Concentrated Methanol Oxidation. Chem. Mater. 2010, 22, 3024− 3032. (57) Geidel, M.; Junige, M.; Albert, M.; Bartha, J. W. In-Situ Analysis on the Initial Growth of Ultra-Thin Ruthenium Films with Atomic Layer Deposition. Microelectron. Eng. 2013, 107, 151−155. (58) Methaapanon, R.; Geyer, S. M.; Lee, H.-B.-R.; Bent, S. F. The Low Temperature Atomic Layer Deposition of Ruthenium and the Effect of Oxygen Exposure. J. Mater. Chem. 2012, 22, 25154−25160. (59) Lee, H.-B.-R.; Pickrahn, K. L.; Bent, S. F. Effect of O3 on Growth of Pt by Atomic Layer Deposition. J. Phys. Chem. C 2014, 118, 12325−12332. (60) Kil, D.-S.; Lee, K.-J.; Kim, Y.-D.; Kim, J.-H.; Do, K.-W.; Park, K.W.; Lee, J.-Y.; Kim, J.-Y. Method of Forming Noble Metal Layer Using Ozone Reactive Gas. U.S. Patent 8,288,274, Oct 16, 2012. (61) Bell, W. E.; Tagami, M. Study of Gaseous Oxides, Chloride, and Oxychloride of Iridium. J. Phys. Chem. 1966, 70, 640−646. (62) Georg, C. A.; Triggs, P.; Levy, F. Chemical Vapour Transport of Transition Metal Oxides (I) Crystal Growth of RuO2, IrO2 and Ru1‑xIrxO2. Mater. Res. Bull. 1982, 17, 105−110. (63) Binnewies, M.; Glaum, R.; Schmidt, M.; Schmidt, P. Chemical Vapor Transport Reactions; Walter de Gruyter: Berlin, Germany, 2012; p 217. (64) Elam, J. W.; Routkevitch, D.; Mardilovich, P. P.; George, S. M. Conformal Coating on Ultrahigh-Aspect-Ratio Nanopores of Anodic Alumina by Atomic Layer Deposition. Chem. Mater. 2003, 15, 3507− 3517. (65) Gordon, R. G.; Hausmann, D.; Kim, E.; Shepard, J. A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches. Chem. Vap. Deposition 2003, 9, 73−78. (66) Kim, J.-Y.; Kim, J.-H.; Ahn, J.-H.; Park, P.-K.; Kang, S.-W. Applicability of Step-Coverage Modeling to TiO2 Thin Films in Atomic Layer Deposition. J. Electrochem. Soc. 2007, 154, H1008− H1013. (67) Rose, M.; Bartha, J. W. Method to Determine the Sticking Coefficient of Precursor Molecules in Atomic Layer Deposition. Appl. Surf. Sci. 2009, 255, 6620−6623.

(68) Dendooven, J.; Deduytsche, D.; Musschoot, J.; Vanmeirhaeghe, R. L.; Detavernier, C. Modeling the Conformality of Atomic Layer Deposition: The Effect of Sticking Probability. J. Electrochem. Soc. 2009, 156, P63−P67. (69) Jefimovs, K.; Laukkanen, J.; Vallius, T.; Pilvi, T.; Ritala, M.; Meilahti, T.; Kaipiainen, M.; Bavdaz, M.; Leskelä, M.; Turunen, J. Free-Standing Inductive Grid Filter for Infrared Radiation Rejection. Microelectron. Eng. 2006, 83, 1339−1342. (70) Christensen, S. T.; Elam, J. W. Atomic Layer Deposition of Ir− Pt Alloy Films. Chem. Mater. 2010, 22, 2517−2525. (71) Zhu, Y.; Dunn, K. A.; Kaloyeros, A. E. Properties of Ultrathin Platinum Deposited by Atomic Layer Deposition for Nanoscale Copper-Metallization Schemes. J. Mater. Res. 2007, 22, 1292−1298. (72) Yeo, S.; Choi, S.-H.; Park, J.-Y.; Kim, S.-H.; Cheon, T.; Lim, B.Y.; Kim, S. Atomic Layer Deposition of Ruthenium (Ru) Thin Films Using Ethylbenzen-Cyclohexadiene Ru(0) as a Seed Layer for Copper Metallization. Thin Solid Films 2013, 546, 2−8. (73) Kukli, K.; Kemell, M.; Puukilainen, E.; Aarik, J.; Aidla, A.; Sajavaara, T.; Laitinen, M.; Tallarida, M.; Sundqvist, J.; Ritala, M.; Leskelä, M. Atomic Layer Deposition of Ruthenium Films from (Ethylcyclopentadienyl) (pyrrolyl)ruthenium and Oxygen. J. Electrochem. Soc. 2011, 158, D158−D165. (74) Knaut, M.; Junige, M.; Neumann, V.; Wojcik, H.; Henke, T.; Hossbach, C.; Hiess, A.; Albert, M.; Bartha, J. W. Atomic Layer Deposition for High Aspect Ratio through Silicon Vias. Microelectron. Eng. 2013, 107, 80−83. (75) Kwon, O.-K.; Kim, J.-H.; Park, H.-S.; Kang, S.-W. Atomic Layer Deposition of Ruthenium Thin Films for Copper Glue Layer. J. Electrochem. Soc. 2004, 151, G109−G112. (76) Lee, D.-J.; Yim, S.-S.; Kim, K.-S.; Kim, S.-H.; Kim, K.-B. Formation of Ru Nanotubes by Atomic Layer Deposition onto an Anodized Aluminum Oxide Template. Electrochem. Solid-State Lett. 2008, 11, K61. (77) Kim, J.-Y.; Kil, D.-S.; Kim, J.-H.; Kwon, S.-H.; Ahn, J.-H.; Roh, J.-S.; Park, S.-K. Ru Films from Bis(ethylcyclopentadienyl)ruthenium Using Ozone as a Reactant by Atomic Layer Deposition for Capacitor Electrodes. J. Electrochem. Soc. 2012, 159, H560−H564. (78) Kim, W.-H.; Park, S.-J.; Son, J.-Y.; Kim, H. Ru Nanostructure Fabrication Using an Anodic Aluminum Oxide Nanotemplate and Highly Conformal Ru Atomic Layer Deposition. Nanotechnology 2008, 19, 045302. (79) Liu, C.; Gillette, E. I.; Chen, X.; Pearse, A. J.; Kozen, A. C.; Schroeder, M. A.; Gregorczyk, K. E.; Lee, S. B.; Rubloff, G. W. An Allin-One Nanopore Battery Array. Nat. Nanotechnol. 2014, 9, 1031− 1039.

K

DOI: 10.1021/acs.langmuir.6b03007 Langmuir XXXX, XXX, XXX−XXX