Orientation Control of Block Copolymers Using ... - ACS Publications

Oct 4, 2016 - (SAP) was used as an additive to a polycarbonate-containing BCP ... that the SAP interacts selectively with the higher surface energy. P...
3 downloads 3 Views 4MB Size
Subscriber access provided by RYERSON UNIV

Article

Orientation Control of Block Copolymers using Surface Active, Phase-preferential Additives Ankit Vora, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie P Magbitang, Anindarupa Chunder, Leslie Thompson, Elizabeth Lofano, Jed W. Pitera, Joy Y Cheng, and Daniel P. Sanders ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.6b11293 • Publication Date (Web): 04 Oct 2016 Downloaded from http://pubs.acs.org on October 7, 2016

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Applied Materials & Interfaces is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Orientation Control of Block Copolymers using Surface Active, Phasepreferential Additives Ankit Vora*, Kristin Schmidt*, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Leslie E. Thompson, Elizabeth Lofano, Jed W. Pitera, Joy Y. Cheng, and Daniel P. Sanders IBM Research – Almaden, 650 Harry Rd., San Jose, California 95120

[email protected], [email protected] Abstract: Orientation control of thin film nanostructures derived from block copolymers (BCPs) are of great interest for various emerging technologies like separation membranes, nanopatterning, and energy storage. While many BCP compositions have been developed for these applications, perpendicular orientation of these BCPs domains is still very challenging to achieve. Herein we report on a new, integration-friendly approach in which small amounts of a phase-preferential, surface active polymer (SAP) was used as an additive to a polycarbonate-containing BCP formulation to obtain perpendicularly oriented domains with 19 nm natural periodicity upon thermal annealing. In this work, the vertically oriented BCP domains were used to demonstrate next generation patterning applications for advanced semiconductor nodes. Furthermore, these domains were used to demonstrate pattern transfer into a hardmask layer using commonly used etch techniques and graphoepitaxy-based directed self-assembly using existing lithographic integration schemes. We believe that this novel formulationbased approach can be easily extended to other applications beyond nanopatterning. Keywords: Block copolymers, Surface active polymers, thin film self-assembly, high-χ, aliphatic polycarbonates, hexfluoroalcohol, directed self-assembly

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Introduction Orientation control of nanostructures derived from self-assembled block copolymers (BCPs) is crucial for many advanced applications such as nanofabrication, nanoporous membranes, photonic

crystals, air-gaps for dielectrics, energy storage, high density storage media and next generation lithography.1–8 Over the past decade, directed self-assembly (DSA) of BCPs has emerged as a highresolution patterning technique which complements and enhances current state-of-the-art optical lithography technologies providing smaller feature sizes, better uniformity and improved fidelity.9–16 For patterning applications, perpendicularly oriented BCP domains are preferred in order to facilitate successful pattern transfer into the underlying substrates. Perpendicular orientation in thin films requires balancing the interfacial energies of both blocks at the BCP-substrate and the BCP-air interfaces. For polystyrene-b-poly(methyl methacrylate) (PS-bPMMA), the most widely used BCP for DSA application, perpendicularly oriented domains can be readily achieved by simple thermal annealing on neutral underlayers as both the PS and the PMMA blocks have very similar surface energies at the BCP-air interface.17 However, the smallest natural period for PS-bPMMA is about 20 nm due to the relatively weak segregation strength between PS and PMMA, as defined by the Flory-Huggins interaction parameter, χ. Even smaller features, which are required for future technology nodes, can be accessed with BCPs exhibiting stronger segregation power due to their higher χ values. Achieving perpendicular orientation of high-χ BCPs is challenging due to the increased mismatch in the surface energies of the two blocks, especially at the BCP-air interface. While various strategies such as solvent vapor annealing (SVA)18, use of topcoats19,20, or BCPs with decoupled bulk thermodynamics and wetting characteristics21 have been proposed for orientation control of high-χ BCPs, most of these approaches have significant drawbacks; i.e. long annealing times, use of highly

ACS Paragon Plus Environment

Page 2 of 30

Page 3 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

volatile solvents, increased synthetic complexity or additional processing steps, potentially restricting their use in high volume manufacturing (HVM). Another approach to enable perpendicular orientation of high-χ BCPs is to blend a modified topcoat material that is both neutral to the BCP and surface active.22 In this case, the surface-active topcoat material segregates at the air interface during thermal anneal process, enabling perpendicular orientation of the BCP domains. However, a high loading (20 wt. % relative to the BCP) of the surfaceactive neutral polymer was required for complete perpendicular orientation of the BCP domains and the topcoat covers the BCP nanostructures making pattern transfer by dry etching or by sequential infiltration synthesis23 (SIS) method challenging. Recently, we reported on the development of polystyrene-b-polycarbonate (PS-b-PC) high-χ BCPs as a platform for sub-20 nm pitch patterning applications.24 As expected, thin films of the PC-based BCPs showed only parallel orientation of the lamellae domains with the lower surface energy PS block at the air interface. To further enable a polycarbonate (PC)-based high-χ BCP that is amenable for future patterning applications, we report on a PC-containing high-χ BCP system that (i) exhibits perpendicular orientation on neutral underlayers using a short thermal annealing process without needing a topcoat, (ii) can undergo successful pattern transfer of sub-10 nm half pitch (hp) features, and (iii) enables DSA with existing lithographic integration schemes. A novel formulation-based approach was developed whereby a surface active polymer (SAP) was used as an additive to obtain perpendicular orientation of the PC-containing high-χ BCP. It is shown that the SAP interacts selectively with the higher surface energy PC block to balance the BCP-air interfacial energy resulting in vertically oriented lamellae (Scheme 1). This approach provides an integration-friendly, materials-based solution that can be potentially applied to various BCP platforms in contrast to the more challenging processing-based techniques employed previously.18,25 In addition, BCPs that can be reproducibly synthesized using

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

conventional polymerization techniques are used, eliminating the need to make more complicated systems to control the thin film orientation.26,27 In this work, we demonstrate the perpendicular orientation of a new PC-based high-χ BCP, poly(styrene-b-methyl 5-methyl-2-oxo-1,3-dioxane-5-carboxylate) (PS-b-PMTC-Me), with a SAP additive having hexafluoroalcohol (HFA) functional groups. The resulting BCP thin films were characterized by various techniques including atomic force microscopy (AFM), resonant x-ray reflectivity (RXR), grazing incidence small angle x-ray scattering (GISAXS) and x-ray photoelectron spectroscopy (XPS) to confirm the perpendicular orientation of the BCP domains and to study the distribution of the SAP additive within the thin film. The BCP template was successfully etched and pattern transferred using both conventional reactive ion etching (RIE) and sequential infiltration synthesis (SIS) techniques. Finally, this formulation-based approach was used to demonstrate graphoepitaxy DSA with ~ 9.5 nm hp features using a prepattern obtained from 193 nm interference lithography.

Scheme 1. Process flow: BCP + SAP formulation is coated onto a neutral underlayer and subsequent thermal annealing of the thin film in order to achieve perpendicular lamellae (polystyrene shown in red, polycarbonate in blue and additive in yellow).

Block Copolymer Development and Neutral Underlayer Selection Lamella forming high-χ BCP, PS-b-PMTC-Me, (12.5kPS-b-14.5kPMTC-Me, VfPMTC-Me ~ 0.48, MnGPC = 28.9K, PDI = 1.02) (Scheme 2a), was synthesized by organocatalytic ring-opening polymerization (ROP) of MTC-Me monomer using hydroxyl end-functional polystyrene (PS-OH) macroinitiator and subsequently purified to remove PMTC-Me homopolymer side product as described previously (see SI).24

ACS Paragon Plus Environment

Page 4 of 30

Page 5 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Scheme 2. Materials: (a) Structure, molecular weight and composition of polystyrene-b-poly(MTCmethyl), and (b) structure of SAP additive

The natural periodicity, D, of the BCP was determined from AFM height images by measuring step heights of island and hole structures to be 19.5 nm. The periodicity was also calculated from the 1st order Bragg peak at q* of the in-plane GISAXS profiles by D = 2π/q* = 19.9 nm. Additionally, RXR measurements at the carbon edge were used to determine the periodicity and interfacial width w between the domains by fitting the data of a 29 nm thick film on a silicon substrate to a multilayer structure (as shown in Figure 1). The specific absorption behavior of the two blocks at the carbon edge leads to a high contrast between the PS and the PMTC-Me phase and allows to distinguish the different phases as different layers.28 The periodicity was calculated to 18.8 nm and the interfacial width to 1.5 nm. The interaction parameter χ and the statistical length of a segment a were then calculated from the natural periodicity, the interfacial width, and the degree of polymerization (N = 203) to χ = 0.19 and a = 0.66 nm by solving following equations:29

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60



  



  



2



 







 1  

 

Page 6 of 30

 

(1) 

     



(2)

Figure 1. Resonant x-ray reflectivity: (a) RXR data of a 29 nm thick film of PS-b-PTMC-Me on silicon: data points shown in red, fit to a multilayer structure shown in black, (b) multilayer structure and interfacial widths according to the fit in (a).

As a first step to control the orientation of the PS-b-PMTC-Me BCP domains, a neutral underlayer (UL) composition was identified by using the half island-and-hole analysis as described previously by Willson and Bates (see Figure S1).30 It was observed that while the substrate modified with a random copolymer brush containing 20 mol% styrene and 80 mol % methyl methacrylate served as a neutral UL composition for the BCP thin films, as expected, only the lower surface energy PS block of the BCP was present the air interface irrespective of the UL composition.

ACS Paragon Plus Environment

Page 7 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Surface Active Polymer (SAP) as an Additive for Orientation Control In order to balance the BCP-air interface and enable perpendicular orientation of the PS-bPMTC-Me BCP thin film, a new formulation-based approach was used in which a surface active polymer (SAP) was added to the BCP solution. The SAP additive was chosen based on three design parameters: (i) lower surface energy than the individual blocks of the BCP, (ii) preferential, non-covalent interaction with the more polar PC block, and (iii) not reactive with either block to prevent degradation of the BCP during the thermal annealing process. To this end, a SAP additive bearing hexafluoroalcohol (HFA) (Scheme 2b) groups was selected as it satisfies all of the above three criteria31–34 for the orientation control of the PC BCPs. First, due to the presence of low surface energy fluorinated groups, HFAcontaining polymers segregate at the air interface when added to photoresist formulations and function as in-situ topcoats in 193 nm immersion lithography.31–33 Second, the acidic, fluorinated tertiary alcohol on the HFA group acts as hydrogen bond donor (HBD) that preferentially interacts with the carbonyl groups of the PC block of the BCP.34 Lastly, unlike a more nucleophilic primary alcohol group, it is known that the HFA group does not initiate the ROP of cyclic carbonyl monomers or promote chain transfer or intermolecular trans-esterification reactions which could degrade the PC block.34,35 Herein, we demonstrate that by adding 3 wt. % (relative to BCP solids) of poly(hexafluoroalcohol styrene) (PHFAS) (Mn = 5.3k, Mw = 12.3k, PDI = 2.13) to a lamellae forming PS-b-PMTC-Me BCP, the observed orientation of the BCP domains changes from parallel (Figure 2a) to perpendicular (Figure 2b) on the neutral underlayer surface upon thermal annealing at 170 °C for 5 minutes. While 2 wt. % additive loading resulted in only partially perpendicular orientation, stable thin films with vertically oriented domains were achieved up to 5 wt. % loading amounts. Additional loading of the additive resulted in flipping of the BCP domains from perpendicular to parallel orientation (Figure 3), likely because the surface energy of the PTMC-Me phase is lowered by too much additive to be now less than the surface energy of the PS phase. To confirm that these vertically oriented domains were indeed

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

thermodynamically stable and not formed as a result of the kinetic trapping of the BCP domains, the additive, or due to film thickness frustrations, additional experiments with varying annealing times (30 and 60 min), temperature (200 °C) and BCP film thickness (~2 Lo) were conducted with the BCP formulation having 3 wt. % additive loading (Figures S2 and S3). These results confirmed that the vertically oriented BCP domains were thermodynamically stable over longer annealing times, temperatures and for the two film thicknesses tested here.

Figure 2. Thin film self-assembly of PS-b-PMTC-Me BCP and SAP additive: AFM height images of a thin film of PS-b-PMTC-Me on neutral underlayer (a) without and (b) with SAP additive showing holes or perpendicular lamellae, respectively. Scale bar corresponds to 400 nm.

ACS Paragon Plus Environment

Page 8 of 30

Page 9 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 3. Dependence on additive loading: AFM height images of PS-b-PMTC-Me BCP on neutral underlayer modified substrate with various amount of PHAFS SAP additive. (a) 2 wt. % additive loading showing partially perpendicular lamellae, (b) & (c) 3 and 5 wt. % additive loading, respectively, with

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 30

perpendicular lamellae and, (d) island morphology with 7 wt. % additive loading. All samples were annealed at 170 °C for 5 minutes. In contrast to the neutral topcoat-based approaches employed previously19,22, the SAP additive is non-neutral to the BCP and interacts preferentially with only one of the blocks of the BCP to enable perpendicular orientation. To confirm that the additive is not neutral to the BCP domains, the PHFAS polymer was grafted to silicon wafers (see SI) as an underlayer before coating the PS-b-PMTC-Me BCP. The AFM height image analysis of the resulting thin film obtained after annealing showed only 1 Lo step height features, confirming that only one of the blocks of the BCP interacts with the PHFAS and the additive it is indeed non-neutral or selective (Figure 4a &b). In addition, blend experiments of PS and PMTC-Me homopolymers with 5 wt. % additive were performed to confirm that the additive is phasepreferential for the PC phase. While blending PS homopolymer with the SAP additive led to significant macrophase-separation upon thermal annealing (Figure 4c), a complete mixing of the additive into the PMTC-Me homopolymer phase was observed (Figure 4d). Furthermore, blending 5 wt. % SAP into polycarbonate increased the contact angle from 54° to 78°. These results corroborated the SAP design parameters that the HFA group of the additive preferentially interacts with the PC block of the BCP, presumably by hydrogen bonding with the carbonyl groups, and thereby lowers the surface energy of the PC phase.

ACS Paragon Plus Environment

Page 11 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 4: Selectivity of SAP additive: (a,b) AFM height image and height profile data of PS-b-PMTC-Me BCP thin film annealed at 170 °C for 5 minutes on P(HFAS-r-GMA) underlayer modified substrate showing 1Lo islands. (c) AFM height image of PS homopolymer thin film blended with 5% P(HFAS) after annealing at 170 °C for 5 minutes showing demixing. (d) AFM height image of PMTC-Me homopolymer thin film blended with 5% P(HFAS) after annealing at 170 °C for 5 minutes showing mixing of PMTC-Me and P(HFAS).

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 30

While small molecules like oleic acid have been previously used to obtain vertically oriented domains of thicker PS-b-PMMA BCP films by preferentially interacting with the PMMA block of the BCP36, they are not capable of controlling the orientation of a higher-χ BCP like PS-b-PMTC-Me, possibly due to the limited surface activity (Figure S4a). Additional attempts to control the orientation using a more surface active small molecule additive based on perfluorinated carboxylic acids also resulted in parallel orientation of the BCP domains (Figure S4b). These results show that not just any arbitrary surface active and hydrogen-bonding additive is capable of controlling the orientation, indicating that the surface active polymers used in this work enable perpendicular orientation of PC-containing BCPs. GISAXS and XPS Analysis To further confirm that the BCP domains are indeed perpendicularly oriented throughout the entire film thickness, the thin films were analyzed by GISAXS. It was observed that the in-plane scattering profiles, which are associated with perpendicular structures in the film, were significantly different for BCP films processed with or without the SAP additive (Figure 5a). Measurements from a BCP film without the SAP additive (shown in blue) showed a broad and weak first order Bragg peak indicating a very small fraction of perpendicularly oriented structures with the majority of the film having parallel orientation. These results were consistent with the island and hole morphology observed by AFM analysis. In contrast, the scattering profile of the BCP film processed with the SAP additive (shown in black) exhibited a strong first order Bragg peak as well as higher order peaks that confirmed the perpendicular orientation for the majority of the thin film. The peak positions appeared at scattering vectors with the ratios of 1 : 2 : 3 with a rather weak second order peak which is consistent with the symmetric lamellae composition of the PS-b-PMTC-Me BCP. XPS was performed to obtain insight into the distribution of the SAP additive within the film. Measurements were taken at the oxygen and fluorine edge at three different angles, i.e. 15°, 45°, and

ACS Paragon Plus Environment

Page 13 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

80°, in order to probe electrons escaping from different depths within the film (Figure 5b, see SI for electron escape depth calculations). Films processed without the additive (shown in blue) showed no oxygen signal for the surface sensitive measurements at 15°, where 99% of the signal arises from atoms within the first 4 nm of the film, indicating a polystyrene layer on top of the film. By increasing the angle and therefore probing deeper into the film, the oxygen signal began to appear at 45° and further increased for more bulk probing measurements at 80°. The majority of the signal at 80° originates from the first 12 nm of the film which enables electrons from oxygen atoms within the polycarbonate phase to reach the detector. As expected, no fluorine signal was detected in these films. In contrast, the films processed with the SAP additive (shown in black), showed a fluorine signal for surface sensitive measurements at 15°, which increased for measurements at 45° with no significant increase for measurements at 80°. The majority of the signal at 45° arises from electrons escaping from the first 8 nm of the film and since there is no significant increase of the fluorine signal when probing deeper into the film, we can conclude that the SAP additive segregates to the top of the BCP film and is distributed within the first 8 nm. In addition, an oxygen signal was detected at the surface which constantly increased with deeper probing. This signal could arise from the oxygens within the polycarbonate phase and from the oxygens of the SAP additive. However, since the oxygen signal is constantly increasing with increasing angles whereas the fluorine signal of the additive stagnates at 45°, it can be assumed that the detected oxygen electrons mainly originate from the polycarbonate block. These results imply that oxygen photoelectrons were able to escape from the polycarbonate phase throughout the film, confirming the perpendicular orientation of the BCP lamellae, which is consistent with the AFM and GISAXS measurements.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 30

Figure 5. Thin film analysis: (a) GISAXS in-plane scattering profiles of thin films of PS-b-PMTC-Me on neutral underlayers without (blue) and with SAP additive (black). (b) Angle-rotated XPS spectra of thin film of PS-b-PMTC-Me on neutral underlayers without (bottom) and with SAP additive (top) at the oxygen edge (left) and fluorine edge (right). Monte Carlo Simulations In addition to the experimental results, single chain in mean-field (SCMF) Monte Carlo simulations37 were carried out to explore the effect of the addition of a phase-preferential, surface active polymer on the thin-film self-assembly of a high χ BCP. Monte Carlo simulations are a valuable tool to understand the phase-behavior of BCPs.13,38 Lamella forming BCPs were modeled as bead-spring chains with 20 beads of each block, A and B, with a segregation strength of χABN of 16 kBT. The simulation results showed parallel orientation of the lamellar domains when both the blocks had same affinity to the substrate interface (εA = εB) but different surface energies (γA < γB) (Figure 6a). This configuration is comparable with the thin film experiments on the neutral underlayer without the SAP additive. The main characteristics of the SAP additive, namely the surface activity and the preferential interaction with the PMTC-Me block, were modelled by adding 1.5% of a homopolymer C consisting of

ACS Paragon Plus Environment

Page 15 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

15 beads with lower surface energy than block A and B (γC < γA < γB) and a favorable interaction with block B (χBC < χAC). Simulating this configuration on a neutral substrate (εA = εB = εC) resulted in perpendicularly oriented lamellae (Figure 6b) agreeing with the perpendicular orientation after adding the SAP additive. In addition, the simulation results indicated that the additive was segregated at the top surface and was mostly distributed within the B block (PMTC-Me) and at the interface between block A and block B. Increasing the amount of homopolymer C above 2% (Figure 6c) leads to a flip in the morphology to parallel lamellae with the B block (PMTC-Me) being at the surface, further corroborating the experimental findings.

Figure 6: Single chain in mean field Monte Carlo Simulations: Results of a A20B20 BCP on neutral surfaces (a) without additive and (b) with addition of 1.5% of a surface active homopolymer C15. Block A

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 30

is colored in red, block B in blue and homopolymer C in yellow, (c) shows top down views (upper row) and cross-sections (lower row) in dependence of the amount of added homopolymer C; only block A and B are shown for better visualization. Etching, Pattern Transfer and DSA of BCP with SAP Additive So far, our results demonstrate the ability of the PHFAS SAP additive to enable perpendicular orientation of sub-10 nm hp features in PC-based high-χ BCPs using fab-friendly thermal annealing processing. However, for successful implementation of BCPs for advanced patterning applications it is essential to selectively etch one of the domains and subsequently transfer the pattern into underlying layers using existing fab-compatible etch processes as well. For sub-10 nm hp lithography, high etch selectivity between the two blocks of the BCP is necessary. It has been shown that for a low-χ system like PS-b-PMMA, successful removal of the PMMA domains using conventional RIE processes has been demonstrated down to only about 11 nm hp features.39 Further etching of the PMMA domain for a smaller pitch PS-b-PMMA is not feasible due to the limited etch selectivity between PS and PMMA domains and the high interfacial width between the two blocks in the low segregation regime. PCcontaining high-χ BCPs, on the other hand, are promising candidates as the RIE rate of the PC block is higher than PMMA due to the higher ratio of oxygen to carbon atoms on the monomer repeat unit40. The higher segregation power also results in sharper interfaces between the two blocks, leading to more etch contrast. The ability to selectively etch the PC block and pattern transfer into the underlying substrate for the new formulation-based approach is demonstrated on a typical tri-layer stack on a silicon wafer coupon comprising amorphous carbon (30 nm), silicon nitride (SiNX, 10 nm) and neutral underlayer (~ 5nm) (Figure 7). The top-down SEM image of PS-b-PMTC-Me film (27 nm, 1.4 Lo) processed with 3 wt. % PHFAS additive and annealed at 170 °C for 5 min on the tri-layer stack showed fingerprint pattern with a pitch of ~ 19 nm (Figure 7a). Upon etching the film with O2 gas, the PMTC-Me

ACS Paragon Plus Environment

Page 17 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

domain was removed while maintaining a ~ 9.5 nm wide PS domain for further pattern transfer (Figure 7b). The SiNx layer was then etched with CF4/CHF3 gas using the remaining PS domain as an etch mask (Figure 7c) followed by partial etching of the underlying organic hardmask (Figure 7d, e) with O2/N2 gas to obtain ~ 9.5 nm line and space features. These results demonstrate that the vertically oriented lamellae domains of PS-b-PMTC-Me can be successfully pattern transferred into the hardmask using fabcompatible processing conditions.

Figure 7. Etching and Pattern Transfer: Schematics and SEM images of a thin film of PS-b-PMTC-Me BCP with SAP additive on silicon wafer with a tri-layer stack of amorphous carbon, silicon nitride, and neutral underlayer (a) after thermal annealing, (b) after O2 etch to remove PMTC-Me block, (c) after CF4/CHF3 etch to remove silicon nitride, and (d) O2/N2 etch to remove organic hardmask. SEM cross-section after final etch is shown in (e).

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 30

An alternate pattern transfer approach, sequential infiltration synthesis (SIS), a technique which involves selective loading and reacting of organometallic precursors within functional polymeric materials to form templated metal oxides in order to improve etch selectivity of resists and block copolymers has gained a lot of attention in recent years.41,42 This technique relies on the selective ability of the organometallic precursor vapors to bind with oxygen or nitrogen-containing groups on the polymer backbone followed by reaction with water vapor to simultaneously form the inorganic material and to provide a growth site for further reactions. Upon removing the polymer template, metal oxide features with high etch selectivity with respect to the underlying layers can be achieved to facilitate pattern transfer. PC-based BCPs are attractive candidates to grow metal oxide templates using the SIS technique due to the presence of ester carbonyl and carbonate groups on the PMTC-Me polymer chain. We demonstrate that the perpendicularly oriented lamellae of PS-b-PMTC-Me BCP thin films processed with the SAP additive can be used as a template to selectively grow highly etch resistant aluminum oxide (Al2O3) using alternating cycles of trimethyl aluminum (TMA) and water vapor. After etching the SISmodified thin film with O2 plasma to remove the organic parts, Al2O3 lines with ~ 9.5 nm width were obtained (Figure 8a) which could be used for further pattern transfer directly into silicon substrate, validating the applicability of the PC-based BCP for patterning applications using various integration schemes of industrial interest. Finally, unlike the topcoat-based approaches used previously to enable perpendicular orientation of the BCP domains wherein the topcoat material has to be removed prior to the RIE or SIS processing, the phase-preferential additive based approach demonstrated in this work does not require any such additional processing step, making it more valuable for advanced patterning applications.

ACS Paragon Plus Environment

Page 19 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 8. SIS and DSA of PS-b-PMTC-Me BCP and SAP Additive: Schematics and top-down SEM images of (a) SIS process, SEM image after O2 etch showing 9.5 nm wide Al2O3 lines, and (b) DSA process, SEM image shows 4x multiplication pattern.

In addition to successful etch and pattern transfer, the additive based approach is also suitable for graphoepitaxy DSA. Here, we demonstrate DSA of PS-b-PMTC-Me by guiding the BCP using a polarity switched hardened photoresist-based prepattern obtained by with 193 nm interference lithography43 (see SI for details). A formulation of PS-b-PMTC-Me with 3 wt. % PHFAS SAP additive was coated on the prepattern and annealed at 200 °C for 10 min resulting in a quadrupled frequency lamellar pattern with a pitch of ~ 19 nm (Figure 8b). The prepattern consisted of a neutral underlayer within the trenches whereas the hardened resist sidewalls were very polar, attracting the PC domain. Therefore, the PC domains align parallel to the resist sidewall while the SAP additive in conjunction with the neutral underlayer enable perpendicular orientation in the open trench. Conclusions In conclusion, a novel formulation-based approach for orientation control of polycarbonatecontaining high-χ BCPs using integration-friendly processing conditions is reported in this work. While

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 30

the current work focused on nanopatterning applications, vertically oriented BCP domains using this technique may find applications for other technologies like separation membranes, energy storage devices, and air-gaps for dielectrics. The vertically oriented lamellar domains were obtained upon thermally annealing the PS-b-PMTC-Me BCP formulation containing surface active polymer (SAP) additives bearing hexafluoroalcohol-groups. The SAP additive was chosen such that it had lower surface energy than the individual blocks of the BCP, had non-covalent and preferential interaction with the more polar PC block of the BCP and was not reactive with either block to prevent degradation of the BCP during the thermal annealing process. The perpendicular morphology of the BCP thin film was confirmed using GISAXS, XPS and corroborated by computational simulations. These vertically oriented BCP domains were successfully pattern transferred using various approaches to obtain sub-10 nm hp features. Additionally, it was shown that this approach is compatible with existing graphoepitaxy schemes for DSA. Overall, it was demonstrated that this new formulation-based approach of using surface active phase-preferential polymeric additives to control the orientation of high-χ BCPs is a promising and integration-friendly method for various application including advanced patterning with sub-lithographic resolution. Future work will explore the utilization of this additive-based approach for controlling the orientation of other BCP platforms for a range of applications beyond nanopatterning.

Materials and Methods All chemicals were received from Sigma-Aldrich Corporation and used as received unless specified otherwise. 1,8-diazabicyclo[5.4.0]undec-7-ene (DBU) was dried and distilled over CaH2 and stored in a nitrogen dry box in a vial as a 10 wt% solution in anhydrous toluene. Hydroxyl-functional polystyrene (PS-OH, Mn = 12500 g/mol, PDI = 1.04) macroinitiator and the underlayer brush polymers as solution in PGMEA were received from EMD Performance Materials Corporation and used as received. The composition details of the brush polymers are shown in Table S1.

ACS Paragon Plus Environment

Page 21 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

1

H NMR spectra were acquired in CDCl3 using a Bruker Avance 400 MHz spectrometer 400-MHz;

chemical shifts (δ) are expressed in parts per million (ppm) relative to TMS. Gel permeation chromatography was performed using a Waters Advanced Polymer Chromatograph equipped with three ACQUITY APC™ XT columns (4.6 mm × 150 mm) connected in series with increasing pore size (45, 125 and 200 Å), using THF as the eluant, and calibrated with polystyrene standards (750 – 106 g/mol). Atomic force microscopy (AFM) measurements were done using a Digital Instruments 3100 AFM with a 1 N/m spring constant silicon nitride cantilever operated in a tapping mode. Scan size and speed were set at 2 µm x 2 µm area and 1 Hz, respectively. Power spectral density (PSD) data used to determine the periodical spacing between BCP domains was processed by the Digital Instruments software, Nanoscope version 5.30. Resonant x-ray reflectivity (RXR) was acquired at the Advance Light Source (ALS) at Lawrence Berkeley National Laboratory (LBNL) at beam line 6.3.2 in high vacuum. The x-ray energy was set to 283 eV to obtain high contrast between the polystyrene and the polycarbonate phase. The data acquisition time was about 1 sec per step for angular scans from 0 – 70°. To avoid radiation damage, the sample was translated after every 10° to expose a fresh sample area. Simulations and fits were performed using the DANSE reflectometry software package. Grazing incidence small angle x-ray scattering (GISAXS) was collected at the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory (LBNL) at beam line 7.3.3.44 The incident x-ray energy was 10 keV and the sample to detector distance was 4 m. Scattered x-rays were collected using a Pilatus 2M detector. Data was normalized for incoming x-ray intensity, film thickness and wafer size, averaged, and integrated along qx = 0.028 Å-1 using the IRENA package, developed by I. Ilavsky.45 The scattering profiles were analyzed by fitting a series of Voigt peaks and an exponential background to the 1D data. The periodicity was calculated from the 1st order Bragg peak by d = 2π/q.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 22 of 30

XPS analysis was done on a Physical Electronics Quantum ESCA Microprobe, using a monochromated AlKaX-ray source at 1496.6 eV, 200 μm spot size throughout and charge neutralization. High resolution spectra were taken with a pass energy of 93.9 eV and steps of 0.4 eV at three different tilt positions of the sample for depth probing: 15° (surface sensitive), 45°, and 80° (bulk probing). The effective attenuation length was calculated using the NIST electron effective attenuation length database with following parameters43: electron kinetic energy (953.2 eV for O edge, 798.1 eV for F edge), asymmetry parameter = 2, composition C15H18O5, valence electrons per molecule 108, band gap energy = 4.2 eV, density = 1.1 g/cm3. The x-ray incidence angle was set to -7°, 23°, and 58° and electron emission angles to 75°, 45°, and 10° corresponding to analyzer to sample plane angles of 15°, 45°, and 80°, respectively. We defined the electron escape depth, so that 99% of the detected electrons arise from within that depth, i.e. the signal at this depth is attenuated to 1%. Using these parameters the electron escape depths at the O edge are 3.4 nm (15°), 8.7 nm (45°), and 12.2 nm (80°) and at the F edge 3.2 nm (15°), 7.6 nm (45°), and 10.5 nm (80°). Single chain in mean-field (SCMF) Monte Carlo simulations were carried out on an IBM BlueGene/Q at the Center for Computational Innovations at the Rensselaer Polytechnic Institute. The block copolymers were modeled as bead-spring chains with 40 beads, a χΝ of 16 kBT and a compressibility of κ = 25 kBT. The natural period of the model was 40 lattice units, allowing a mapping of 1 lattice unit to be 0.5 nm. The simulation box was 160 lattices units long by 160 wide, with a height of 40, and was periodic across its width and length. The SAP additive was modeled as a homopolymer with 15 beads, with preferred interaction with the B block (χCB = 0.5 and χCA = 1 kBT). Substrate and air interactions were introduced as a pair of static fields, a neutral field at the bottom to simulate the neutral underlayer with εA = εΒ = εC = 0 and a preferential field on top to represent the air-polymer interface (γA = 3, γB = 5, γC = 0). Each system was started in a disordered melt and simulated for 5000 Monte Carlo sweeps with 1 move per bead per sweep and mean-field updates after each step with half

ACS Paragon Plus Environment

Page 23 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

the values the final χ parameters. After the first round, the simulation was carried out for another 5000 steps using the initial block copolymer configuration and the final χ parameters. Using two rounds of simulations with increasing χ parameters ensures that the simulation converges after 5000 sweeps. The densities of block A and B and the homopolymer C from the final configuration were collected and visualized using codes written by MatLab and ImageJ. Synthesis of PS-b-PMTC-Me Block Copolymer. PS-OH (0.15 g, 0.0012 mmol, Mn = 12,500, PDI = 1.04), MTC-Me (0.47 g, 2.7 mmol) and DCM (2.70 ml) were added to an oven dried 4 ml glass vial equipped with a magnetic stir bar. The reaction mixture was stirred until the PS-OH macroinitiator and MRC-Me were completely dissolved in DCM, upon which DBU (2.3 mg, 0.015 mmol) was added. The reaction mixture was stirred in a glove box at room temperature for 2.5 hours. The reaction vial was removed from the glove box and the reaction was stopped by adding DCM (1 ml), TEA (0.1 ml, 1.35 mmol) and acetyl chloride (0.25ml, 3.52 mmol).

The mixture was further stirred for two hours at room

temperature. The resulting polymer was isolated by precipitating the reaction mixture in methanol. The product was collected in a frit funnel by removing methanol under vacuum and the resulting solids were redissolved in THF to form a 20 wt.% solution and reprecipitated in methanol. The solid was collected in a frit funnel and dried under vacuum at 40° C for two hours to obtain the resulting compound which was dissolved in THF to form a 20 wt% solution and the polymer was precipitated in methanol:acetonitrile (200 ml, 70:30 v/v) to remove PMTC-Me homopolymer impurity. The solid was collected in a frit funnel and dried under vacuum at 40° C for two hours and was transferred to a 20 ml glass vial equipped with a magnetic stir bar. To this vial, 15 ml of cyclohexane was added and the mixture was stirred overnight followed by decanting the cyclohexane solution to remove any PS-H homopolymer impurity in the PSOH macroinitiator. The resulting polymer was dried under vacuum at 40 °C for 24 hours to obtain the final PS-b-PMTC-Me diblock copolymer. MnGPC = 28,940 g/mol, PDI = 1.02; MnNMR: polystyrene (PS) block

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 24 of 30

Mn = 12,500 g/mol, poly(methyl carbonate) (PMTC-Me) block Mn = 14,500 g/mol. Volume fraction of PTMC block, VfPTMC ~ 0.48. Synthesis of Poly(Hexafluoroalcohol styrene) SAP Additive. Hexafluoroalcohol styrene (HFAS, 1.25 g, 4.63 mmol,), THF (5 g), and azobisisobutyronitrile (AIBN, 0.030 g, 0.185 mmol, 4 mol% based on total moles of vinyl monomers) were combined in a 100 mL round bottom flask (RBF) equipped with a magnetic stir-bar and an overhead condenser. The reaction mixture was stirred at 70° C for 18 hours and was stopped by cooling the reaction to room temperature. The resulting polymer was isolated by two precipitations in cold hexanes, and was dried under vacuum at 50° C for 24 hours. Mn = 5.3k, Mw = 11300, PDI = 2.14.

Perpendicular Orientation of PS-b-PMTC-Me BCP with P(HFAS) as SAP Additive. PS-b-PMTC-Me BCP (0.01 g) was dissolved in PGMEA (0.823 g) to form a 1.2 wt. % solution of the block copolymer. P(HFAS) surface active polymer (0.1 g) was dissolved in PGMEA (8.23 g) to form a 1.2 wt. % stock solution of the SAP polymer based on total weight of the solution. The SAP solution was filtered through 0.2 micrometer PTFE filter. 25 mg of the SAP stock solution was then added to the block copolymer solution to form a coating composition containing 3 wt. % SAP additive w.r.t. the BCP. This solution was stirred at room temperature to form a homogeneous mixture upon which it was filtered through a 0.2 micrometer PTFE filter. Next, the BCP formulation with SAP additive was spin coated at 2000 rpm on AZEMBLY™ NLD-328J (20% Styrene, neutral underlayer) brush modified silicon wafer coupon. The BCP was annealed at 170 °C for 5 minutes and cooled to room temperature to enable phase-separation. Dry Etching and Pattern Transfer of the Thin Films. Etching experiments were done on a trilayer stack consisting of the underlayer, SiNX (10 nm), and α-carbon (30 nm) on a silicon substrate. This substrate was modified with AZEMBLY NLD-328J brush polymer as described above. A 1.2 wt. % in PGMEA formulation of PS-b-PMTC-Me BCP with 3 wt. % P(HFA-Sty) SAP additive with respect to the BCP was

ACS Paragon Plus Environment

Page 25 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

spin coated at 2000 rpm onto the brush modified substrate and annealed at 170 °C for 5 minutes, followed by immediate cooling to room temperature. To remove the methyl carbonate domain, an O2 etch was done using a Lam Research 4520 XLe dielectric etcher. The pressure for this etch was 300 mT, O2 flow rate was 136 sccm, lower electrode power was 50 W, upper electrode power was 0 W, and the gap between electrodes was 2.25 cm. The etch was done for 7 sec. To remove SiNX, a mixture of CF4 and CHF3 was used using a Plasma Therm Versaline ICP etcher. The pressure for this etch was done at 4 mT, the flowrate of CF4 was 20 sccm, the flowrate of CHF3 was 15 sccm, bias power was 50 W, and coil power was 100 W. The etch was done for 13 sec. To remove the α-carbon, a mixture of O2 and N2 was used using the same etcher as the one used to remove SiNX. The pressure used was 4 mT, the O2 flowrate was 2 sccm, N2 flowrate was 40 sccm, bias power was 100 W, and the coil power was 200 W. The etch was done for 30 sec. The samples were imaged using a Leo Gemini scanning electron microscope (SEM) to characterize morphology. Sequential Infiltration Synthesis (SIS) of Aluminum Oxide in PMTC-Me Domains and Etching of the PS Block. SIS experiments were performed on vertically oriented lamellar domains of PS-b-PMTC-Me BCP with 3 wt. % SAP additive on neutral underlayer. The experiment was done using an Ultratech/CambridgeNanotech Fiji atomic layer deposition (ALD) system. BCP thin film was exposed to alternating cycles of trimethylaluminum (TMA) and H2O at 75 °C. Prior to each run the reaction chamber was purged with Ar at 300sccm for 10 min. TMA was then pulsed into the chamber at 65 Torr with a reaction soak time of 5 min. Excess TMA precursor was evacuated from the chamber using a pump (chamber evacuated to less than 25 mTorr) /purge (Ar flow) / pump steps. The second precursor (H2O) was then introduced using the same procedure to complete a full SIS cycle. This cycle was repeated for six more times to obtain the aluminium oxide (Al2O3) modified BCP thin film substrate. To remove the PS domain and the organics, an O2 etch was done using a Lam Research 4520 XLe dielectric etcher. The

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 26 of 30

pressure for this etch was 300 mT, O2 flow rate was 136 sccm, lower electrode power was 50 W, upper electrode power was 0 W, and the gap between electrodes was 2.25 cm. The etch was done for 10 sec. Supporting Information Additional information on the synthesis of PMTC-Me monomer, determination of neutral underlayer selection, effect of thin film annealing conditions and film thickness, effect of small molecule addition to the BCP formulation and graphoepitaxy prepattern preparation can be found in this section. Acknowledgements The authors would like to acknowledge Krystelle Lionti for measuring the density of PMTC-Me homopolymer. The help of Michael Roders and Alexander Ayzner for measuring GISAXS, and Daniel Sunday for measuring RXR is greatly appreciated. GISAXS and RXR measurements were performed at Beamline 7.3.3 and 6.3.2 of the Advanced Light Source which is supported by the Director of the Office of Science, Office of Basic Energy Sciences, of the U.S. Department of Energy under Contract No. DEAC02-05CH11231. This work benefitted from DANSE software developed under NSF award DMR0520547. Finally, the authors are also thankful to EMD Performance Materials Corporation for providing PS-OH macroinitiator and the orientation control materials. References (1) (2) (3) (4)

(5)

Kim, H.-C.; Park, S.-M.; Hinsberg, W. D. Block Copolymer Based Nanostructures: Materials, Processes, and Applications to Electronics. Chem. Rev. 2010, 110 (1), 146–177. Jackson, E. A.; Hillmyer, M. A. Nanoporous Membranes Derived from Block Copolymers: From Drug Delivery to Water Filtration. ACS Nano 2010, 4 (7), 3548–3553. Urbas, A.; Sharp, R.; Fink, Y.; Thomas, E. L.; Xenidou, M.; Fetters, L. J. Tunable Block Copolymer/homopolymer Photonic Crystals. Adv. Mater. 2000, 12 (11), 812–814. Park, M.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Adamson, D. H. Block Copolymer Lithography: Periodic Arrays Of∼ 1011 Holes in 1 Square Centimeter. Science 1997, 276 (5317), 1401–1404. Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Epitaxial SelfAssembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates. Nature 2003, 424 (6947), 411–414.

ACS Paragon Plus Environment

Page 27 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(6)

(7) (8) (9)

(10) (11)

(12)

(13)

(14)

(15)

(16)

(17)

(18) (19)

(20)

(21) (22)

Cheng, J. Y.; Ross, C. A.; Thomas, E. L.; Smith, H. I.; Vancso, G. J. Fabrication of Nanostructures with Long-Range Order Using Block Copolymer Lithography. Appl. Phys. Lett. 2002, 81 (19), 3657– 3659. Tang, C.; Lennon, E. M.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Evolution of Block Copolymer Lithography to Highly Ordered Square Arrays. Science 2008, 322 (5900), 429–432. Darling, S. B. Block Copolymers for Photovoltaics. Energy Env. Sci 2009, 2 (12), 1266–1273. Park, S.-M.; Stoykovich, M. P.; Ruiz, R.; Zhang, Y.; Black, C. T.; Nealey, P. F. Directed Assembly of Lamellae- Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates. Adv. Mater. 2007, 19 (4), 607–611. Jung, Y. S.; Ross, C. A. Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene−Polydimethylsiloxane Block Copolymer. Nano Lett. 2007, 7 (7), 2046–2050. Edwards, E. W.; Montague, M. F.; Solak, H. H.; Hawker, C. J.; Nealey, P. F. Precise Control over Molecular Dimensions of Block-Copolymer Domains Using the Interfacial Energy of Chemically Nanopatterned Substrates. Adv. Mater. 2004, 16 (15), 1315–1319. Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.; Berggren, K. K. Graphoepitaxy of SelfAssembled Block Copolymers on Two-Dimensional Periodic Patterned Templates. Science 2008, 321 (5891), 939–943. Tsai, H.; Pitera, J. W.; Miyazoe, H.; Bangsaruntip, S.; Engelmann, S. U.; Liu, C.-C.; Cheng, J. Y.; Bucchignano, J. J.; Klaus, D. P.; Joseph, E. A.; others. Two-Dimensional Pattern Formation Using Graphoepitaxy of PS-B-PMMA Block Copolymers for Advanced FinFET Device and Circuit Fabrication. ACS Nano 2014, 8 (5), 5227–5232. Doerk, G. S.; Cheng, J. Y.; Singh, G.; Rettner, C. T.; Pitera, J. W.; Balakrishnan, S.; Arellano, N.; Sanders, D. P. Enabling Complex Nanoscale Pattern Customization Using Directed Self-Assembly. Nat. Commun. 2014, 5, 5805. Liu, C.-C.; Han, E.; Onses, M. S.; Thode, C. J.; Ji, S.; Gopalan, P.; Nealey, P. F. Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats. Macromolecules 2011, 44 (7), 1876–1885. Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H.-C.; Hinsberg, W. D. Dense Self-Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers. Adv. Mater. 2008, 20 (16), 3155–3158. Mansky, P.; Russell, T. P.; Hawker, C. J.; Mays, J.; Cook, D. C.; Satija, S. K. Interfacial Segregation in Disordered Block Copolymers: Effect of Tunable Surface Potentials. Phys. Rev. Lett. 1997, 79 (2), 237–240. Son, J. G.; Gotrik, K. W.; Ross, C. A. High-Aspect-Ratio Perpendicular Orientation of PS-B-PDMS Thin Films under Solvent Annealing. ACS Macro Lett. 2012, 1 (11), 1279–1284. Bates, C. M.; Seshimo, T.; Maher, M. J.; Durand, W. J.; Cushen, J. D.; Dean, L. M.; Blachut, G.; Ellison, C. J.; Willson, C. G. Polarity-Switching Top Coats Enable Orientation of Sub–10-Nm Block Copolymer Domains. Science 2012, 338 (6108), 775–779. Liu, G.; Ramírez-Hernández, A.; Yoshida, H.; Nygård, K.; Satapathy, D. K.; Bunk, O.; de Pablo, J. J.; Nealey, P. F. Morphology of Lamellae-Forming Block Copolymer Films between Two Orthogonal Chemically Nanopatterned Striped Surfaces. Phys. Rev. Lett. 2012, 108 (6), 65502. Kim, S.; Nealey, P. F.; Bates, F. S. Decoupling Bulk Thermodynamics and Wetting Characteristics of Block Copolymer Thin Films. ACS Macro Lett. 2012, 1 (1), 11–14. Zhang, J.; Clark, M. B.; Wu, C.; Li, M.; Trefonas, P.; Hustad, P. D. Orientation Control in Thin Films of a High-χ Block Copolymer with a Surface Active Embedded Neutral Layer. Nano Lett. 2016, 16 (1), 728–735.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(23)

(24)

(25)

(26) (27)

(28) (29) (30) (31) (32) (33)

(34)

(35)

(36) (37)

(38)

(39)

(40)

Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. Nanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block Copolymers. Adv. Mater. 2010, 22 (45), 5129– 5133. Vora, A.; Wojtecki, R. J.; Schmidt, K.; Chunder, A.; Cheng, J. Y.; Nelson, A.; Sanders, D. P. Development of Polycarbonate-Containing Block Copolymers for Thin Film Self-Assembly Applications. Polym Chem 2016, 7 (4), 940–950. Yoshida, H.; Suh, H. S.; Ramirez-Herunandez, A.; Lee, J. I.; Aida, K.; Wan, L.; Ishida, Y.; Tada, Y.; Ruiz, R.; de Pablo, J.; Nealey, P. F. Topcoat Approaches for Directed Self-Assembly of Strongly Segregating Block Copolymer Thin Films. J. Photopolym. Sci. Technol. 2013, 26 (1), 55–58. Kim, S.; Nealey, P. F.; Bates, F. S. Decoupling Bulk Thermodynamics and Wetting Characteristics of Block Copolymer Thin Films. ACS Macro Lett. 2012, 1 (1), 11–14. Kim, S.; Yoo, M.; Baettig, J.; Kang, E.-H.; Koo, J.; Choe, Y.; Choi, T.-L.; Khan, A.; Son, J. G.; Bang, J. Perpendicularly Oriented Block Copolymer Thin Films Induced by Neutral Star Copolymer Nanoparticles. ACS Macro Lett. 2015, 4 (1), 133–137. Wang, C.; Araki, T.; Ade, H. Soft X-Ray Resonant Reflectivity of Low-Z Material Thin Films. Appl. Phys. Lett. 2005, 87 (21), 214109. Matsen, M. W.; Bates, F. S. Unifying Weak- and Strong-Segregation Block Copolymer Theories. Macromolecules 1996, 29 (4), 1091–1098. Kim, S.; Bates, C. M.; Thio, A.; Cushen, J. D.; Ellison, C. J.; Willson, C. G.; Bates, F. S. Consequences of Surface Neutralization in Diblock Copolymer Thin Films. ACS Nano 2013, 7 (11), 9905–9919. Sanders, D. P. Advances in Patterning Materials for 193 Nm Immersion Lithography. Chem. Rev. 2010, 110 (1), 321–360. Ito, H. Rise of Chemical Amplification Resists from Laboratory Curiosity to Paradigm Enabling Moore’s Law; Henderson, C. L., Ed.; 2008; pp 692302–692315. Sanders, D. P.; Sundberg, L. K.; Sooriyakumaran, R.; Brock, P. J.; DiPietro, R. A.; Truong, H. D.; Miller, D. C.; Lawson, M. C.; Allen, R. D. Fluoro-Alcohol Materials with Tailored Interfacial Properties for Immersion Lithography; Lin, Q., Ed.; 2007; pp 651904–651912. Coulembier, O.; Sanders, D. P.; Nelson, A.; Hollenbeck, A. N.; Horn, H. W.; Rice, J. E.; Fujiwara, M.; Dubois, P.; Hedrick, J. L. Hydrogen-Bonding Catalysts Based on Fluorinated Alcohol Derivatives for Living Polymerization. Angew. Chem. Int. Ed. 2009, 48 (28), 5170–5173. Tempelaar, S.; Mespouille, L.; Dubois, P.; Dove, A. P. Organocatalytic Synthesis and Postpolymerization Functionalization of Allyl-Functional Poly (Carbonate) S. Macromolecules 2011, 44 (7), 2084–2091. Son, J. G.; Bulliard, X.; Kang, H.; Nealey, P. F.; Char, K. Surfactant-Assisted Orientation of Thin Diblock Copolymer Films. Adv. Mater. 2008, 20 (19), 3643–3648. Müller, M.; Smith, G. D. Phase Separation in Binary Mixtures Containing Polymers: A Quantitative Comparison of Single-Chain-in-Mean-Field Simulations and Computer Simulations of the Corresponding Multichain Systems: Phase Separation in Binary Mixtures. J. Polym. Sci. Part B Polym. Phys. 2005, 43 (8), 934–958. Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C.-C.; de Pablo, J. J.; Müller, M.; Nealey, P. F. Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries. ACS Nano 2007, 1 (3), 168–175. Wan, L.; Ruiz, R.; Gao, H.; Patel, K. C.; Albrecht, T. R.; Yin, J.; Kim, J.; Cao, Y.; Lin, G. The Limits of Lamellae-Forming PS-B-PMMA Block Copolymers for Lithography. ACS Nano 2015, 9 (7), 7506– 7514. Gokan, H.; Esho, S.; Ohnishi, Y. Dry Etch Resistance of Organic Materials. J. Electrochem. Soc. 1983, 130 (1), 143-146.

ACS Paragon Plus Environment

Page 28 of 30

Page 29 of 30

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(41)

(42) (43)

(44)

(45)

Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. Nanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block Copolymers. Adv. Mater. 2010, 22 (45), 5129– 5133. Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Czaplewski, D. A.; Elam, J. W.; Darling, S. B. Etch Properties of Resists Modified by Sequential Infiltration Synthesis. J. Vac. Sci. Technol. B 2011, 29 (6), 06FG01. Cheng, J. Y.; Sanders, D. P.; Truong, H. D.; Harrer, S.; Friz, A.; Holmes, S.; Colburn, M.; Hinsberg, W. D. Simple and Versatile Methods To Integrate Directed Self-Assembly with Optical Lithography Using a Polarity-Switched Photoresist. ACS Nano 2010, 4 (8), 4815–4823. Hexemer, A.; Bras, W.; Glossinger, J.; Schaible, E.; Gann, E.; Kirian, R.; MacDowell, A.; Church, M.; Rude, B.; Padmore, H. A SAXS/WAXS/GISAXS Beamline with Multilayer Monochromator. In J. Phys.: Conf. Ser.;, 2010; 247 (14), 12007. Ilavsky, J.; Jemian, P. R. Irena : Tool Suite for Modeling and Analysis of Small-Angle Scattering. J. Appl. Crystallogr. 2009, 42 (2), 347–353.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

TOC Figure

ACS Paragon Plus Environment

Page 30 of 30