Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a

Jul 22, 2016 - Department of Nanotechnology and Advanced Materials Engineering, Sejong University, 209 Neungdong-ro, Gwangjin-gu, Seoul 05006, Republi...
32 downloads 12 Views 4MB Size
Subscriber access provided by CARLETON UNIVERSITY

Article

Plasma-enhanced atomic layer deposition of silicon nitride using novel silylamine precursor Jae-Min Park, Se Jin Jang, Luchana Lamierza Yusup, Won-Jun Lee, and Sang-Ick Lee ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.6b06175 • Publication Date (Web): 22 Jul 2016 Downloaded from http://pubs.acs.org on July 24, 2016

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Applied Materials & Interfaces is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Plasma-enhanced atomic layer deposition of silicon nitride using novel silylamine precursor Jae-Min Park†,a), Se Jin Janga), Luchana L. Yusup†, Won-Jun Lee*,†,b), and Sang-Ick Leeb) DNF Co.Ltd., 142 Daehwa-ro 132 beon-gil, Daedeok-gu, Daejeon, 34366, Republic of Korea †

Department of Nanotechnology and Advanced Materials Engineering, Sejong University, 209, Neungdong-ro, Gwangjin-gu, Seoul, 05006, Republic of Korea KEYWORDS Plasma-enhanced Atomic Layer Deposition (PEALD), Silicon Nitride, Silicon Precursor, Bis(dimethylaminomethylsilyl)trimethylsilyl Amine (DTDN2-H2), N2 Plasma, Density Functional Theory

ABSTRACT: We report the plasma-enhanced atomic layer deposition (PEALD) of silicon nitride thin film using a silylamine compound as the silicon precursor. A series of silylamine compounds were designed by replacing SiH3 groups in trisilylamine by dimethylaminometylsilyl or trimethylsilyl groups to obtain sufficient thermal stability. The silylamine compounds were synthesized through redistribution, amino-substitution, lithiation and silylation reactions. Among them, bis(dimethylaminomethylsilyl)(trimethylsilyl)amine (C9H29N3Si3, DTDN2-H2) was selected as the silicon precursor because of the lowest bond dissociation energy and sufficient vapor pressures. The energies for adsorption and reaction of DTDN2-H2 with silicon nitride surface were also calculated by density functional theory. PEALD silicon nitride thin films were prepared using DTDN2-H2 and N2 plasma. The PEALD process window was between 250 and 400°C with a growth rate of 0.36 Å/cycle. Best film quality was obtained at 400°C with a RF power of 100 W. The PEALD film prepared showed good bottom and sidewall coverage of ~80% and ~73% on a trench-patterned wafer with an aspect ratio of 5.5.

INTRODUCTION Recently, there has been renewal of interest in silicon nitride thin films for next-generation semiconductor devices such as the gate spacer of dynamic random access memory (DRAM) devices,1 or logic devices2,3 and charge trap layer of three-dimensional vertical NAND flash devices4,5. These applications of silicon nitride thin films require excellent film quality and superior step coverage. In addition, low thermal budget is essential for the gate spacer of logic devices. Silicon nitride thin films can be grown using several methods, such as low pressure chemical vapor deposition (LPCVD)6,7, plasma enhanced CVD (PECVD)8, and atomic layer deposition (ALD)9,10. High thermal budgets of the transitional LPCVD processes has become a problem in nano-scaled devices with the limited step coverage. PECVD can deposit silicon nitride thin film at lower temperatures, but deposited films show low quality and poor step coverage. A method to meet the requirements of nano-scaled devices is atomic layer deposition (ALD), which has many advantages such as excellent step coverage, precise thickness control, and high quality even at low temperatures due to its self-limited reaction. Thermal ALD of silicon nitride have been studied using only silicon chlorides as the silicon precursors, such as SiCl49,11,12, SiH2Cl211,13, and Si2Cl614,10. NH3 and N2H410 were

used as the nitriding agents. Film properties comparable to LPCVD were reported at deposition temperatures of 500–550°C, which are slightly higher than the requirement of gate spacer applications for logic devices. These results underline the need to develop low-temperature ALD process with novel precursors. Plasma-enhanced ALD (PEALD) process lowers the activation energies for the chemisorption of precursors and the removal of ligands adsorbed on the surfaces of growing films, resulting in low temperature depositions. PEALD of silicon nitride using SiH2Cl2 and NH3 plasma at 375°C produced silicon nitride films having a N/Si ratio of 1 and low refractive index of approximately 1.615, which are significantly lower than those of the silicon nitride film prepared by LPCVD at 700°C7 or thermal ALD at 515°C14. Silicon nitride films deposited by alternating exposures to SiH4 and N2 plasma (13.56 MHz) at 250–400°C showed a growth rate of 0.25 nm/cycle and refractive index of approximately 1.7516. However, step coverage was similar to that of the PECVD film showing that the deposition process is not based on surface-saturated reaction. Trisilylamine (TSA) was also investigated as the silicon precursor. Silicon nitride films produced by alternating exposures to TSA and an H2/N2 plasma at 300–400°C were studied for gate spacer application2. The growth rate was 0.13~0.21 nm/cycle, and refractive index or composition of the deposited film were not reported. Film quality and step cov-

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

erage was better than PECVD, resulting in better device properties, and the stress of deposited films was controllable by RF power. However, the step coverage was not good enough by the standards of the ALD process. Another report on the silicon nitride film prepared by alternating exposures to TSA and an NH3 plasma showed a growth rate of 0.06–0.07 nm/cycle at 150–350°C17. In this report, the growth rate was significantly lower than those of ref [2], because TSA dose was reduced by lowering canister temperature, valve conductance, and pulse time (0.2 s), which indicates unsaturated deposition process. The step coverage of the deposited film was not reported. The PEALD of silicon nitride using bis(tert-butylamino)silane (BTBAS) with remote N2 plasma was also reported. The growth rate was 0.015-0.032 nm/cycle at 200-500°C, and the deposited films contained impurities of carbon (3%) and oxygen (5%).18 The other study compared the reactants in PEALD of silicon nitride using BTBAS, and N2 plasma showed the highest growth rate, because BTBAS molecules easily react with N2 plasma-treated silicon nitride surface.19 In order to obtain perfect conformality over nanoscaled patterns, the dose of precursor should be much higher than the saturation dose of the precursor on the planar substrate, because precursor molecules should diffuse into the bottom of pattern to react with the entire interior surface.20 Therefore, a silicon precursor with higher reactivity and better thermal stability is required, because higher reactivity lowers the saturation dose of the ALD process, and better thermal stability of the precursor suppresses the CVD mode deposition which worsen step coverage. In the present study, we designed and synthesized a novel ALD silicon precursor, and studied PEALD of silicon nitride at low temperatures below 400°C. A series of silicon compounds were designed based on the chemical structure of TSA, and were synthesized to be investigated as the silicon precursor. Among them, bis(dimethylaminomethylsilyl)(trimethylsilyl)amine (DTDN2-H2) was selected as the silicon precursor for PEALD of this study. The growth kinetics and the physical properties of the deposited films were characterized. The effects of deposition temperature and N2 plasma condition were also discussed.

Page 2 of 28

Si

SiH 3

N

N H 3Si

Si

Si

SiH3

N

H

(a)

(b) N

Si H

Si

N

H

Si

Si

N

Si

N

H

H

N Si

N

N

H

(c)

(d)

Figure 1. Chemical structures of silicon precursors: (a) TSA, (b) DTDN1-H1, (c) DTDN2-H2, (d) DTDN3-H3 Three SiH3 groups in TSA were replaced by dimethylaminometylsilyl or trimethylsilyl groups to form (dimethylaminomethylsilyl)bis(trimethylsilyl)amine (DTDN1H1), bis(dimethylaminomethylsilyl)(trimethylsilyl)amine (DTDN2-H2), and tris(dimethylaminomethylsilyl) amine (DTDN3-H3). Synthesis of silylamine compounds. Four steps are required to synthesize the compounds in the present study. Figure 2 shows the schematic of synthesis of DTDN2-H2 as an example. First, hexamethyldisilane was used as the starting material to prepare dimethylaminomethyldisilazane via redistribution and aminosubstitution reactions in steps (a) and (b) in Fig. 2. Then, DTDN2-H2 was obtained using lithiation and silylation reactions with n-buLi and chlorotrimethylsilane in steps (c) and (d) in Fig. 2. Detailed procedure on the synthesis of DTDN2-H2 is described in EXPERIMENTAL SECTION. (a)

H

H N

Si

+

Si

Si

H

Cl

H N

Si Cl

Cl

Si

+

H

Si Cl

Cl

Redistribution reaction (b)

Si

H N

Cl

Si

H

HN

+

H N

Si N

Cl

Si

H

+

HCl

N

Amino-substitution reaction Li

(c)

H

Si

H N

N

Si

H

n-BuLi

+

H

Si

N

Si

N

N

H

+

n-butane

N

RESULTS AND DISCUSSION Lithiation reaction

Design of TSA-derivative compounds. The requirements of ALD precursors are high volatility, thermal stability, and reactivity with substrate and reactants. TSA is a highly-volatile and highly-reactive Cl-free molecule with Si–N bonds, but it readily reacts with NH/NH2 groups to form a silazane oligomer structure.21 In order to achieve monolayer growth of silicon nitride film while maintaining reactivity and volatility, a series of novel trisilylaminederivative compounds were designed as the ALD silicon precursors, as shown in Fig. 1.

Li

(d)

H

Si

N

N

Si Si N

H

+

H

Si Cl

+

N Si

N

Si H

LiCl

N

Silylation reaction

Figure 2. Scheme of synthesis of DTDN2-H2. (a) Redistribution reaction, (b) Amino-substitution reaction, (c) Lithiation reaction and (d) Silylation reaction. Other silylamine compounds were obtained by similar synthesis methods. Figure 3 shows the 1HNMR spectra of

ACS Paragon Plus Environment

Page 3 of 28

DTDN2-H2. The chemical shifts show four nonequivalent types of protons: 9 protons in the CH3 groups attached to Si(1), 6 protons in the CH3 groups attached to Si on N-SiN(2), 12 protons in the CH3 groups attached to N(3) and 2 protons attached to Si(4) atoms.

indicating that the compounds have evaporated nearly completely without thermal decomposition.

100

Weight (%)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

80

(a) (b)

60

(c)

40 20 0

0

100

200

300

400

500

o

Temperature ( C) Figure 5. TGA of silylamine compounds: (a) DTDN1-H1, (b) DTDN2-H2, and (c) DTDN3-H3. The amount of residue at 300°C were 3.7%, 2.3%, and 3.9%, respectively.

1

Figure 3. H NMR analysis of DTDN2-H2 Characterization of silylamine compounds. Figure 4 shows the vapor pressure versus temperature plots for three silylamine compounds of the present work. All three compounds showed reasonable vapor pressures for ALD process. Vapor pressure can be controlled by types and numbers of ligand: vapor pressure was enhanced by increasing the number of -SiMe3 ligands and decreasing the number of -(MeSiHNMe2) ligands, resulting in the highest vapor pressures for DTDN1-H1.

Bond dissociation energy by geometry optimization. First, we carried out the geometry optimization of silylamine compounds to obtain the equilibrium configurations of the structure with the lowest total energies. The equilibrium lengths and angles of bonds were determined (not displayed here). Then, we calculated bond dissociation energies (BDEs) for the compounds by calculating the energy of system with increasing the interatomic distance until the energy of system saturates. The BDE values calculated for the compounds are shown in Fig. 6. Replacing H atoms of TSA with CH3 weakens Si-N bonds, and replacing Si(Me)3 with MeSiHNMe2 weakens Si-N bonds further. The N-Si bond between the center N atom and the Si atom in the -(MeSiHNMe2), ligand, N(center)Si in the (MeSiHNMe2), shows the lowest BDE for each compounds in all three compounds. These results suggest that the N(center)-Si in (MeSiHNMe2) bond would be the first bond to break. Especially, the N(center)-Si in (MeSiHNMe2) bond of DTDN2-H2 showed the lowest value.

Figure 4. Vapor pressure vs temperature plots of silylamine compounds: (a) DTDN1-H1, (b) DTDN2-H2, (c) DTDN3-H3 and (d) TSA Thermal gravimetric analysis (TGA) is also helpful in characterizing the volatilities of precursors. Figure 5 shows that the temperature at which a half of the precursor was evaporated in temperature-ramped (10°C/10 min) TGA curve (T1/2) is 200, 220, and 237°C for DTDN1-H1, DTDN2-H2, and DTDN3-H3, respectively. The amounts of nonvolatile residue at 300°C were 3.7%, 2.3%, and 3.9%,

Figure 6. Bond dissociation energies of silylamine compounds

Modeling and simulation of PEALD process. We simulated the first half reaction of PEALD process using

ACS Paragon Plus Environment

2 0 -0.79

-2 -4

-3.45

-6

Page 4 of 28

0.06

(a) 0.05 0.04 0.03 0.02 0.01 0.00

0

2

4

6

8

10

DTDN2-H2 feeding time (s)

Growth rate (nm/cycle)

DTDN2-H2 and N2 plasma as the silicon and nitrogen sources. The first half reaction where the silicon precursor adsorbs on the growing film surface is the most critical step in the ALD of silicon nitride.19 DTDN2-H2 was selected as the silicon precursor because of the lowest BDE value in Fig. 6, which corresponds to the highest reactivity among three compounds. The N2 plasma was selected due to high growth rate per cycle for many aminosilane precursors in literature.19 The optimized structures were obtained for the adsorption and the reaction of DTDN2H2 on under-coordinated bare >Si=N- surface generated by N2 plasma16. The lowest energies of the adsorption and the reaction are -0.79 eV and -3.45 eV, respectively, which indicates that both adsorption and reaction are exothermic, as shown in Fig. 7.

∆ Energy (eV)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Growth rate (nm/cycle)

ACS Applied Materials & Interfaces

0.06

(b)

0.05 0.04 0.03 0.02 0.01 0.00

0

4

8

12

16

N2 plasma time (s)

Figure 7. Reaction energy diagram of DTDN2-H2 on the under-coordinated bare β-Si3N4 surfaces, >Si=N-, during the 1st half reaction of PEALD Growth of PEALD silicon nitride film using DTDN2H2. The deposition kinetics of PEALD using DTDN2-H2 and N2 plasma was investigated at 300°C which is requested by the next-generation semiconductor manufacturing. Figure 8 shows the growth rate of the deposited film as a function of the DTDN2-H2 feeding time or N2 plasma time with a RF power of 100 W. The growth rate increased with increasing DTDN2-H2 feeding time and then saturated at 0.36 Å/cycle for the feeding time of 3 s or longer, as shown in Fig. 8 (a). The growth rate also saturated for N2 plasma times of 10 s or longer, as shown in Fig 8 (b). These show that the surface reactions between the precursor and the reactant is self-limited. Figure 8 (b) also shows that there was no thermal decomposition of the silicon precursor, because the repeated feeding of the silicon precursor (3 s) and purging (16 s) for 550 cycles did not produce the film without N2 plasma. The films prepared by saturated deposition reactions exhibit the refractive index of approximately 1.93, which is slightly lower than 2.01 of stoichiometric silicon nitride, however, is better than values in literature on PEALD silicon nitride2,15,16,17.

Figure 8. Growth rate of the PEALD SiN films at 300°C as a function of (a) DTDN2-H2 feeding time or (b) N2 plasma time. N2 plasma time was fixed at 10 s in (a), and DTDN2-H2 feeding time was fixed at 3 s in (b), with a fixed RF power of 100 W. Effect of deposition temperature. We investigated the effect of deposition temperature on the growth rate and the quality of the PEALD film at temperatures ranging from 200 to 500°C, as shown in Fig. 9. The films were deposited for 550 cycles with a fixed DTDN2-H2 exposure time of 3 s and a fixed N2 plasma time of 12 s. The RF power was also fixed at 100 W. The growth rate per cycle is nearly constant at 0.36 Å/cycle at 250-400°C, which is considered as the ALD process window, as shown in Fig. 9 (a). The growth rate per cycle of the present work is higher than that of PEALD using BTBAS. It increases with increasing temperature over 400°C to reach 0.96 Å/cycle at 500°C, which is attributed to the thermal decomposition of the precursor. The composition of the PEALD film was analyzed by XPS after removing surface layer by Ar ion beam etching, as shown in Fig. 9(b). The [N]/[Si] ratio of the PEALD film was calibrated using the beta-silicon nitride powder as the reference materials. The film prepared at 200°C show the [N]/[Si] ratio of 0.91 and the carbon concentration of 9.3%. In the ALD process window, no carbon was detected, and the [N]/[Si] ratio is approximately 0.98 at 300°C and 0.99 at 400°C, which is almost the same with that of LPCVD film produced at 700°C.

ACS Paragon Plus Environment

Page 5 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 9. Effect of deposition temperature. PEALD silicon nitride films were prepared with a RF power of 100 W at various deposition temperatures: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution.

At 500°C where the precursor is thermally decomposed, the [N]/[Si] ratio decreased to 0.93. Since Si-rich silicon nitride film is oxidized in air, high oxygen concentration was observed at 500°C. Similar phenomenon was reported for thermal ALD of silicon nitride using SiH2Cl2.13 Figure 9 (c) shows the charge trap density as a function of temperature. The charge trap density of a dielectric film is a measure of the integrity of the films. We assumed that the threshold voltage shift is only due to the defects in silicon nitride film and measured the C-V hysteresis by sweeping voltage from -15 to 15 V and in reverse to calculate the charge trap density. The charge trap density decreased with increasing deposition temperature in ALD temperature window. Charge trap density of PEALD silicon nitride film prepared at 400°C is 2.31×1012/cm2, which is significantly lower than 5.62×1012/cm2 of LPCVD film prepared at 700°C. At 450°C and higher temperatures beyond the ALD process window, however, a higher level of charge trap density was obtained due to the thermal decomposition of the precursor, which agrees well with the XPS composition in Fig. 9(b). This phenomenon is also observed in the thermal ALD of silicon oxide using Si2Cl6 and O3.22 The Si-rich silicon oxide film was deposited at high temperature due to the thermal decomposition of Si2Cl6, resulting in high charge trap density. The wet-etching rates of silicon nitride films were investigated in a diluted HF solution, as shown in Fig. 9(d). The wet-etching rate of PEALD films decreased from 4.55

nm/min to 0.23 nm/min with increasing deposition temperature from 200°C to 400°C, and then increased to 0.45 nm/min at 500°C, which is the same trend with the defect density in Fig. 9 (c). The wet-etching rate of PEALD film prepared at 400°C is almost the same with 0.22 nm/min of the LPCVD film prepared at 700°C. Effect of RF plasma power. We also investigated the effect of RF power on the growth rate and the quality of the PEALD film, as shown in Fig. 10. The films were deposited at 300°C for 550 cycles with a fixed DTDN2-H2 exposure time of 3 s and a fixed N2 plasma time of 12 s. The RF power was varied from 75 W to 400 W. The growth rate per cycle is nearly constant at ~0.36 Å/cycle with RF powers between 75 W and 150 W, and then increased to 0.44 Å/cycle with 200 W and 0.49 Å/cycle with 400 W, as shown in Fig. 10(a). The increase of growth rate with high RF powers is related with the deposition of polymeric material. During N2 plasma time with high RF powers, ligands of the precursor molecules desorbed from the surface are dissociated in plasma before pumping out to deposit polymeric material on the substrate. The carbon impurity was not detected in the PEALD film with a RF power of 100 W, however, the carbon concentration increased to ~5% when RF power is increased to 200 W and beyond, as shown in Fig. 10 (b). Also, the [N]/[Si] ratio was gradually decreased from 0.98 to 0.84 and the oxygen concentration increased from 6.79% to 10.97% with increasing RF pow-

Figure 10. Effect of RF power. PEALD silicon nitride films were deposited at 300°C with various RF powers: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

er. Figure 10 (c) shows that the charge trap density abruptly increased with increasing RF power to 200 W and beyond, which is same trend with the carbon concentration in Fig. 10 (b). The lowest charge trap density of PEALD silicon nitride film, 3.17×1012/cm2, was obtained with a RF power of 100 W. The wet-etching rates of PEALD films were investigated in a diluted HF solution, and the lowest value of 0.89 nm/min was obtained in the film prepared with a RF power of 100 W, as shown in Fig. 10(d). The wet-etching rate increased from 0.89 nm/min to 15.22 nm/min with increasing RF power from 100 W to 400 W. Especially, it increased greatly with increasing RF power from 200 W to 400 W, which is different from the trend in charge trap density in Fig. 10 (c). This might be due to the higher oxygen concentration with a RF power of 400 W, because silicon oxide and silicon oxynitride have higher wetetching rate than silicon nitride. Step coverage of PEALD silicon nitride. Finally, the step coverage of silicon nitride thin films was investigated using trench-patterned wafers. The aspect ratio was 5.5 with a top trench width of 75 nm, as shown in Fig. 11. The PEALD films prepared at 300°C with a RF power of 100 W showed relatively good step coverage. The bottom coverage was approximately ~80%, and the sidewall coverage at the middle of trench was ~73%, which is better than the conformality of the PEALD film prepared using BDEAS under the same process conditions (bottom coverage, 80%; sidewall coverage, 57%).

20 nm

300℃

Figure 11. Cross-section transmission TEM images of the silicon nitride thin films deposited on a trench-patterned wafer at 300°C with a RF power of 100 W.

CONCLUSION A series of silylamine compounds were designed as the ALD silicon precursor to improve the thermal stability of TSA by replacing SiH3 groups with dimethylaminome-

Page 6 of 28

thylsilyl or trimethylsilyl groups. The silylamine compounds were synthesized through redistribution, aminosubstitution, lithiation and silylation reactions. Among them, DTDN2-H2 was selected as the silicon precursor of this study because of the lowest bond dissociation energy and sufficient vapor pressures. The energies for adsorption and reaction of DTDN2-H2 with silicon nitride surface were also calculated by density functional theory. PEALD silicon nitride thin films were prepared using DTDN2-H2 and N2 plasma. DTDN2-H2 feeding times and N2 plasma time for saturated surface reactions were 3 s and 10 s, respectively. The PEALD process window was between 250 and 400°C with a growth rate of 0.36 Å/cycle. Best film quality was obtained at 400°C. At 450°C and higher temperatures, however, Si-rich films were grown with higher growth rates, resulting in higher trap densities and wet etch rates. The growth rate is nearly constant with RF powers between 75 W and 150 W, and then increased with RF powers of 200 W or higher. Best film quality was obtained with a RF power of 100 W, and the trap density and the wet etch rate was increased with increasing RF power because of the deposition of carbon impurity. The PEALD film of this study showed good bottom and sidewall coverage of ~80% and ~73% on a trench-patterned wafer with an aspect ratio of 5.5.

EXPERIMENTAL SECTION Synthesis of the precursor. First, 250 g (1.55mol) of hexamethyldisilazane (((CH3)3Si)2NH) and 10 g (0.075mol) of aluminum chloride (AlCl3) were put into 2000 mL of flame-dried Schlenk flask under anhydrous and inert atmosphere, and then 713.19 g (3.87mol) of dichloro dimethylsilane ((CH3)2SiCl2) was slowly added into the flask. The mixed reaction solution was stirred for 3 hours at 40°C and the produced chlorotrimethylsilane ((CH3)3SiCl) and the excessively added dichloro dimethylsilane ((CH3)2SiCl2) were removed by simple distillation or distillation under reduced pressure. The recovered chloromethyldisilazane ((CH3SiHCl)2NH)) solution was stirred and then 293.47 g (4.2 mol) of diethylamine ((CH3)2NH) was slowly added into the solution maintaining temperature at -15°C. After the addition of diethylamine was completed, a temperature of the reaction solution was slowly raised to room temperature, and the reaction solution was stirred at room temperature for 6 hr. The white solid obtained by filtration was removed to obtain filtrate. Solvent was removed from the filtrate under reduced pressure, and 222.54 g (1.16 mol) of dimethylamino methyl disilazane ((CH3SiHN(CH3)2)2NH) was obtained by reduced pressure distillation with a yield of 75%. 1HNMR(inC6D6)δ 0.19(t, 6H, (((CH3)2)2N(CH3)HSi)2NH), 2.46(s, 12H, (((CH3)2)2N(CH3)HSi)2NH), 4.71(m, 2H, NSiH). 191.43 g (1.00mol) of dimethylamino methyl disilazane ((CH3SiHN(CH3)2)2NH) and 200 ml of n-hexane organic solvent were added to 2000 ml of a flame-dried flask un-

ACS Paragon Plus Environment

Page 7 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

der anhydrous and inert atmosphere while stirring, and 303.32 g (1.00 mol) of 2.29M normal butyl lithium (nC4H9Li)·hexane (C6H14) solution was slowly added while maintaining a temperature at -15°C. After the addition of a butyl lithium solution was completed, a temperature of the reaction solution was slowly raised to room temperature, and the reaction solution was stirred for 12 hr and 300 ml of tetrahydrofuran (O(C2H2)2) was added in the reaction solution. 108.64 g (1.00 mol) of chlorotrimethylsilane was slowly added to the reaction solution while maintaining a temperature at -20°C. After the addition was completed, a temperature of the reaction solution was slowly raised to 65°C and the reaction solution was stirred for 12 hr while maintaining the temperature. After the reaction was completed, the white solid obtained by filtration of the reaction mixture was removed to obtain a filtrate, solvent was removed from the filtrate under reduced pressure, and 184.53 g (0.70 mol) of bisdimethylaminomethylsilyltrimethylsilylamine ((CH3)3SiN(SiH(CH3)N(CH3)2)2) was obtained by reduced pressure distillation with a yield of 70%. 1HNMR(inC6D6)δ 0.29(m, 15H, NSiH(CH3)N(CH3)2, NSi(CH3)3) 2.46(m, 12H, NSiH(CH3)N(CH3)2), 4.76(m, 2H, NSiH(CH3)N(CH3)2); Boiling Point 237°C; GC Analysis Result > 99.5%. DFT calculations. Theoretical study presented in this work obtained with the first-principles density functional theory (DFT) calculation using Dmol3 package of Material Studio 7.0 (Accelrys, USA)23,24. Generalized gradient approximation (GGA) with the Perdew-Burke-Ernzerhof (PBE)25 as exchange correlation functional and the double numerical polarization (DNP) as the basis set are used. The scalar relativistic effect was included in the all electron calculation. For more precise results, we chose octupole scheme for the multipolar expansion. We used the smearing of 9×10-4 Ha and applied the dipole slab correction in the orbital occupancy scheme. As for geometry optimization, we used customized quality of convergence tolerance without symmetry constraint until the total energy change was converged to 10-6 Ha and all the atomic force becam e smaller than 2×10-4 Ha/Å. Geometry optimizations were performed for all of the structures in these calculations26,27. After obtained the optimized structure of precursor, we fixed the distance between the two atoms in precursor. And then we repeatedly calculate the energy between various distances of two atoms until we got the saturated energy value. The lower energy value is the distance when the two atoms make a stable bond between each other. The lower the distance between two atoms correspond to the repulsion energy occurred, thus make the energy become higher. The higher the distance between two atoms correspond to the attraction energy occurred, thus make the energy also become higher. When the energy for breaking the bond between two atoms reached, the energy become saturated. The difference between saturated energy and lowest energies is bond dissociation energy (BDE) value. We constructed bare Si3N4 surface without any modification revealing under-coordinated bare >Si=N- bonds.

Because N2 plasma can make an under-coordinated surface in place of NH*/SiNH2* terminated surface. DTDN2H2 precursor adsorbed on silicon nitride surface was optimized to calculate its adsorption energy Ea using Ea = Etotal – (Esurface + Eprecursor) Where, Etotal, Esurface, and Eprecursor are the total energy of the system after adsorption, the energies of the surface only and the precursor only, respectively. While considering different orientations and adsorption sites of a DTDN2-H2 above the surface, we performed geometry optimization for all cases to evaluate their total energies. The case with the lowest adsorption energy was selected as the most stable configuration for adsorption of DTDN2-H2 on the surface. Silicon nitride film growth by PEALD. A borondoped Si (100) wafer was used as the substrate for the film deposition. Before being loaded into the reactor, the Si wafer was cleaned using a diluted buffered oxide etch solution composed of HF and NH4F and then rinsed in deionized water. Silicon nitride thin films were grown in a showerhead-type cold-wall reactor manufactured by CN1 Co., Ltd. DTDN2-H2 precursor was heated to 75°C and the vapor was delivered into the chamber with a N2 carrier gas of 50 sccm. Direct N2 plasma was generated by a RF generator (27.12 MHz) under 0.6 Torr. Nitrogen gas was injected to purge residual precursor and byproducts at a flow rate of 2000 sccm. Purge times were 16 s after feeding of DTDN2-H2 and 12 s after N2 plasma. Analysis of the deposited films. The thickness and refractive index of deposited films were measured by ellipsometry (Elli-SE, Ellipso Technology, Korea). The film thickness and step coverage were examined by crosssectional transmission electron microscopy (TEM) (JE21000F, JEOL, Japan). An X-ray photoelectron spectroscopy (XPS) system (ESCALAB 250 XPS system (Theta Probe XPS system), Thermo Fisher Scientific, U.K.) in KBSI, Busan center was utilized for composition analysis with a monochromatic Al Kα (1486.6 eV) X-ray source. The wetetch rate of the film was evaluated in a diluted HF solution (H2O:HF = 500:1). For electrical characterization, capacitance-voltage (C-V) measurements, a metal-oxidesemiconductor (MOS) structure was manufactured by thermal evaporation of 500 nm-thick aluminum electrode. The areas of the Al electrodes were 0.25 mm2. The capacitance and flat band voltage shift were measured using a HP 4284A (Keysight technologies, USA). The bottom coverage is the ratio of the film thickness on the top horizontal surface to the film thickness at the bottom of trench. The sidewall coverage is the ratio of the film thickness on the top horizontal surface to the film thickness at the middle of trench.

AUTHOR INFORMATION Corresponding Author b) C0-corresponding authors

(Won-Jun Lee) E-mail: [email protected] (Sang-Ick Lee) E-mail: [email protected]

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces Author Contributions

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

,a)

(6)

Arnoldbik, W. M.; Maree, C. H. M.; Maas, A. J. H.; Boogaard, M. J. van den; Habraken, F. H. P. M. Dynamic Behavior of Hydrogen in Silicon Nitride and Oxynitride Films Made by LowPressure Chemical Vapor Deposition. Phys. Rev. B 1993, 48 (8), 5444–5456.

(7)

Joshi, B. C.; Eranna, G.; Runthala, D. P.; Dixit, B. B.; Wadhawan, O. P.; Vyas, P. D. LPCVD and PECVD Silicon Nitride for Microelectronics Technology. Indian J. Eng. Mater. Sci. 2000, 7, 303–309.

(8)

Huang, W.; Wang, X.; Sheng, M.; Xu, L.; Stubhan, F.; Luo, L.; Feng, T.; Wang, X.; Zhang, F.; Zou, S. Low Temperature PECVD SiNx Films Applied in OLED Packaging. Mater. Sci. Eng. B 2003, B98, 248–254.

(9)

Klaus, J. W.; Ott, A. W.; Dillon, A. C.; George, S. M. Atomic Layer Controlled Growth of Si N Films Using Sequential Surface Reactions. Surf. Sci. 1998, 418, L14–L19.

(10)

Morishita, S.; Sugahara, S.; Matsumura, M. Atomic-Layer Chemical-Vapor-Deposition of Silicon-Nitride. Appl. Surf. Sci. 1997, 112, 198– 204.

(11)

Lee, W.-J.; Lee, J.-H.; Park, C. O.; Lee, Y.-S.; Shin, S.-J.; Rha, S.-K. A Comparative Study on the Si Precursors for the Atomic Layer Deposition of Silicon Nitride Thin Films. J. Korean Phys. Soc. 2004, 45 (5), 1352–1355.

(12)

Nakajima, A.; Khosru, Q. D. M.; Yoshimoto, T.; Kidera, T.; Yokoyama, S. NH3-Annealed Atomic-Layer-Deposited Silicon Nitride as a High-K Gate Dielectric with High Reliability. Appl. Phys. Lett. 2002, 80 (7), 1252–1254.

(13)

Lee, W.-J.; Kim, U.-J.; Han, C.-H.; Chun, M.-H.; Rha, S.-K.; Lee, Y. Characteristics of Silicon Nitride Thin Films Prepared by Using Alternating Exposures of SiH2Cl2 and NH3. J. Korean Phys. Soc. 2005, 47, S598–S602.

(14)

Park, K.; Yun, W.-D.; Choi, B.-J.; Kim, H.-D.; Lee, W.-J.; Rha, S.-K.; Park, C. O. Growth Studies and Characterization of Silicon Nitride Thin Films Deposited by Alternating Exposures to Si2Cl6 and NH3. Thin Solid Films 2009, 517 (14), 3975–3978.

(15)

Goto, H.; Shibahara, K.; Yokoyama, S. Atomic Layer Controlled Deposition of Silicon Nitride with Self-Limiting Mechanism. Appl. Phys. Lett. 1996, 68 (23), 3257–3259.

(16)

King, S. W. Plasma Enhanced Atomic Layer Deposition of SiNx:H and SiO2. J. Vac. Sci. Technol. A 2011, 29 (4), 041501.

(17)

Jang, W.; Jeon, H.; Kang, C.; Song, H.; Park, J.; Kim, H.; Seo, H.; Leskela, M.; Jeon, H.

a)

Jae-Min Park† , Se Jin Jang . These authors contributed equally.

ACKNOWLEDGMENT This work was supported by Industrial Strategic technology development program, 10041792, Key technology Development of low temperature PEALD equipment using inorganic precursor for 1X/2X semiconductor device, funded By the Ministry of Trade, industry & Energy (MI, Korea). The Korea Basic Science Institute is also acknowledged for the XPS measurements.

ABBREVIATIONS DTDN1-H1, bis(trimethylsilyl)amine; DTDN2-H2, bis(dimethylaminomethylsilyl)(trimethylsilyl)amine; DTDN3-H3, tris(dimethylaminomethylsilyl)amine; DFT, density functional theory.

REFERENCES (1)

Lin, J.; Lin, P.; Haga, S. W.; Wang, Y.; Lu, D. Transient and Thermal Analysis on Disturbance Immunity for 4F2 Surrounding Gate 1T-DRAM with Wide Trenched Body. IEEE Trans. Electron Devices 2015, 62 (1), 61–68.

(2)

Triyoso, D. H.; Hempel, K.; Ohsiek, S.; Jaschke, V.; Shu, J.; Mutas, S.; Dittmar, K.; Schaeffer, J.; Utess, D.; Lenski, M. Evaluation of Low Temperature Silicon Nitride Spacer for High-K Metal Gate Integration. ECS J. Solid State Sci. Technol. 2013, 2 (11), N222–N227.

(3)

Kaneko, A.; Yagishita, A.; Yahashi, K.; Kubota, T.; Omura, M.; Matsuo, K.; Mizushima, I.; Okano, K.; Kawasaki, H.; Inaba, S.; Izumida, T.; Kanemura, T.; Aoki, N.; Ishimaru, K.; Ishiuchi, H.; Suguro, K.; Eguchi, K.; Tsunashima, Y. Sidewall Transfer Process and Selective Gate Sidewall Spacer Formation Technology for Sub15nm Finfet with Elevated Source/drain Extension. IEEE Int. Devices Meet. 2005. IEDM Tech. Dig. 2005.

(4)

Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; Katsumata, R.; Kito, M.; Fukuzumi, Y.; Sato, M.; Nagata, Y.; Matsuoka, Y.; Iwata, Y.; Aochi, H.; Nitayama, A. Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory. IEEE Symp. VLSI Technol. 2007.

(5)

Jang, J.; Kim, H.-S.; Cho, W.; Cho, H.; Kim, J.; Shim, S. Il; Jang, Y.; Jeong, J.-H.; Son, B.-K.; Kim, D. W.; Kim, K.; Shim, J.-J.; Lim, J. S.; Kim, K.-H.; Yi, S. Y.; Lim, J.-Y.; Chung, D.; Moon, H.C.; Hwang, S.; Lee, J.-W.; Son, Y.-H.; Chung, U.I.; Lee, W.-S. Vertical Cell Array Using TCAT(Terabit Cell Array Transistor) Technology for Ultra High Density NAND Flash Memory. Symp. VLSI Technol. 2009.

Page 8 of 28

ACS Paragon Plus Environment

Page 9 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces Temperature Dependence of Silicon Nitride Deposited by Remote Plasma Atomic Layer Deposition. Phys. Status Solidi A 2014, 211, 2166– 2171.

(18)

Knoops, H. C. M.; Braeken, E. M. J.; Peuter, K. de; Potts, S. E.; Haukka, S.; Pore, V.; Kessels, W. M. M. Atomic Layer Deposition of Silicon Nitride from Bis (Tert-Butylamino) Silane and N2 Plasma. Appl. Mater. Interfaces 2015, 7, 1–2.

(19)

Ande, C. K.; Knoops, H. C. M.; de Peuter, K.; van Drunen, M.; Elliott, S. D.; Kessels, W. M. M. Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride. J. Phys. Chem. Lett. 2015, 6 (18), 3610–3614.

(20)

Gordon, R. G.; Hausmann, D.; Kim, E.; Shepard, J. A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches. Chem. Vap. Depos. 2003, 9 (2), 73–78.

(21)

Kim, H.; Lee, S.; Lee, J.-W.; Bae, B.; Choi, Y.; Koh, Y.-H.; Yi, H.; Hong, E.; Kang, M.; Nam, S. W.; Kang, H.-K.; Chung, C.; Park, J.; Cho, N.; Lee, S. Novel Flowable CVD Process Technology for Sub-20nm Interlayer Dielectrics. 2012 IEEE Int. Interconnect Technol. Conf. IITC 2012 2012, 1–3.

(22)

Lee, S.-W.; Park, K.; Han, B.; Son, S.-H.; Rha, S.K.; Park, C.-O.; Lee, W.-J. Atomic Layer Deposition of Silicon Oxide Thin Films by Alternating Exposures to Si2Cl6 and O3. Electrochem. Solid-State Lett. 2008, 11 (7), G23– G26.

(23)

Delley, B. An All-Electron Numerical Method for Solving the Local Density Functional for Polyatomic Molecules. J. Chem. Phys. 1990, 92 (1), 508–517.

(24)

Delley, B. From Molecules to Solids with the DMol3 Approach. J. Chem. Phys. 2000, 113 (18), 7756–7764.

(25)

Perdew, J. P.; Burke, K.; Ernzerhof, M. Generalized Gradient Approximation Made Simple. Phys. Rev. Lett. 1996, 77 (3), 3865–3868.

(26)

Baker, J.; Kessi, a; Delley, B. The Generation and Use of Delocalized Internal Coordinates in Geometry Optimization. J. Chem. Phys. 1996, 105 (1996), 192–212.

(27)

Andzelm, J.; King-Smith, R. D.; Fitzgerald, G. Geometry Optimization of Solids Using Delocalized Internal Coordinates. Chem. Phys. Lett. 2001, 335, 321–326.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Graphic entry for the Table of contents (TOC)

ACS Paragon Plus Environment

Page 10 of 28

Page 11 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 1. Chemical structures of silicon precursors: (a) TSA, (b) DTDN1-H1, (c) DTDN2-H2, (d) DTDN3-H3 67x64mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 2. Scheme of synthesis of DTDN2-H2 91x90mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 12 of 28

Page 13 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 3. 1H NMR analysis of DTDN2-H2 97x95mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 5. TGA of silylamine compounds: (a) DTDN1-H1, (b) DTDN2-H2, and (c) DTDN3-H3. The amount of residue at 300°C were 3.7%, 2.3%, and 3.9%, respectively. 74x71mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 14 of 28

Page 15 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 4. Vapor pressure vs temperature plots of silylamine compounds: (a) DTDN1-H1, (b) DTDN2-H2, (c) DTDN3-H3 and (d) TSA 96x93mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 6. Bond dissociation energies of silylamine compounds 43x19mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 16 of 28

Page 17 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 7. Reaction energy diagram of DTDN2-H2 on the under-coordinated bare β-Si3N4 surfaces, >Si=N*, during the 1st half reaction of PEALD 74x56mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 8. Growth rate of the PEALD SiN films at 300°C as a function of (a) DTDN2-H2 feeding time or (b) N2 plasma time. N2 plasma time was fixed at 10 s in (a), and DTDN2-H2 feeding time was fixed at 3 s in (b), with a fixed RF power of 100 W. 50x47mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 18 of 28

Page 19 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 8. Growth rate of the PEALD SiN films at 300°C as a function of (a) DTDN2-H2 feeding time or (b) N2 plasma time. N2 plasma time was fixed at 10 s in (a), and DTDN2-H2 feeding time was fixed at 3 s in (b), with a fixed RF power of 100 W. 51x50mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 9. Effect of deposition temperature. PEALD silicon nitride films were prepared with a RF power of 100 W at various deposition temperatures: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution. 36x34mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 20 of 28

Page 21 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 9. Effect of deposition temperature. PEALD silicon nitride films were prepared with a RF power of 100 W at various deposition temperatures: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution. 36x27mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 9. Effect of deposition temperature. PEALD silicon nitride films were prepared with a RF power of 100 W at various deposition temperatures: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution. 35x32mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 22 of 28

Page 23 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 9. Effect of deposition temperature. PEALD silicon nitride films were prepared with a RF power of 100 W at various deposition temperatures: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution. 35x35mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 10. Effect of RF power. PEALD silicon nitride films were deposited at 300°C with various RF powers: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution. 35x34mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 24 of 28

Page 25 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 10. Effect of RF power. PEALD silicon nitride films were deposited at 300°C with various RF powers: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution. 36x27mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 10. Effect of RF power. PEALD silicon nitride films were deposited at 300°C with various RF powers: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution. 33x30mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 26 of 28

Page 27 of 28

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 10. Effect of RF power. PEALD silicon nitride films were deposited at 300°C with various RF powers: (a) growth rate, (b) concentration ratio of nitrogen to silicon ([N]/[Si]) and carbon concentration, (c) charge trapped density, and (d) wet-etching rate in a diluted HF solution. 31x28mm (600 x 600 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Figure 11. Cross-section transmission TEM images of the silicon nitride thin films deposited on a trenchpatterned wafer at 300°C with a RF power of 100 W. 67x136mm (600 x 600 DPI)

ACS Paragon Plus Environment

Page 28 of 28