Polyimides in Microelectronics - ACS Symposium Series (ACS

Jul 23, 2009 - The most commonly used commercial materials (for example, from Dupont and Hitachi) are condensation polyimides, formed from imidization...
7 downloads 14 Views 648KB Size
Chapter 36 P o l y i m i d e s in M i c r o e l e c t r o n i c s Stephen D. Senturia

Downloaded by PURDUE UNIV on October 29, 2015 | http://pubs.acs.org Publication Date: August 26, 1987 | doi: 10.1021/bk-1987-0346.ch036

Microsystems Technology Laboratories, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, MA 02139

Polyimides are finding increased use in microelectronics [1-6]. There are four primary areas of application: 1) as fabrication aids; 2) as passivants and interlevel insulators; 3) as adhesives; and 4) as components of the substrate or circuit board. In each application, the requirements on properties and performance differ. This paper addresses primarily the first two applications. Polyimides for microelectronics use are of two basic types. The most commonly used commercial materials (for example, from Dupont and Hitachi) are condensation polyimides, formedfromimidization of a spin-cast film of soluble polyamic acid precursor to create an intractable solid film. Fully imidized thermoplastic polyimides are also available for use as adhesives (for example, the LARC-TPI material), and when thermally or photo-crosslink able, also as passivants and interlevel insulators, and as matrix resins for fiber-reinforced­ -composites, such as in circuit boards. Flexible circuits are made from Kapton polyimide film laminated with copper. The diversity of materials is very large; readers seeking additional information are referred to the cited review articles [1-3,6] and to the proceedings of the two International Conferences on Polyimides [4,5]. We now examine how applications and properties interact, by examining the uses of polyimides as fabrication aids and as passivants and interlevel insulators. Fabrication Aids Fabrication aids include such applications as photoresists, planarization layers in multi-level photoresist schemes, and as ion implant masks. In these applications, the polymer is applied to the wafer or substrate, is suitably cured and/or patterned, but is removed after use. In the case of a photoresist, the ultimate definable feature size together with the ability of the material to withstand either chemical etchants or plasma environments determines the domain of utility. The feature size is in turn determined by the wavelength required for exposure, the sensitivity and contrast of the resist, and the dimensional stability of the material during exposure, development, and subsequent processing. Adhesion of the resist to the substrate is critical both for patterning and use, and adhesion can be affected by surface preparations, and by residual stresses developed during deposition and cure. While photoimagable polyimides have been introduced, their principal intended application is as a component of the finished part, either as passivant or interlevel dielectric (see below). In multi-level resist schemes, the polyimide itself does not need to be photoimageable. Instead, it is used to planarize the substrate topography, as illustrated in Figure 1. The planarization process resembles that which occurs with ordinary paints and varnishes. Because polyimides are applied as liquids, the surface tension keeps the surface flat (Figure la) until the cure or drying process proceeds sufficiently far to prevent flow. Thereafter, shrinkage due to solvent loss or cure results in partial recovery of the underlying feature (Figure lb). The achievable degree of planarization is controlled by shrinkage [7]. This, in turn, is controlled by the specific polyimide chemistry, by the molecular weight, and by the percent solids in the film at the point where flow ceases. In general, polyimides intended only for use as temporary 0097-6156/87/0346-0428$06.00/0 Φ 1987 American Chemical Society

In Polymers for High Technology; Bowden, M., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1987.

36.

SENTURIA

Polyimides

in

429

Microelectronics

Downloaded by PURDUE UNIV on October 29, 2015 | http://pubs.acs.org Publication Date: August 26, 1987 | doi: 10.1021/bk-1987-0346.ch036

planarization layers can be of lower molecular weight than those intended for interlevel dielectric application, hence, can achieve better planarization. After the polyimide is applied and cured, the photoresist is then placed over the polyimide, in some cases with an intervening aluminum masking layer. The relatively flat surface provides for maximum resolution of the exposed pattern in the resist, while the aluminum serves as an etch mask for creating deep vertical cuts in the polyimide using reactive ion etching in oxygen. The ability to create high-aspect-ratio etched structures in polyimide using an aluminum mask in an oxygen plasma operated in reactive-ion-etch mode permits polyimide to be used as a high-resolution ion-implant mask [8,9]. O f critical importance in this application is the stopping power of the polyimide at the desired implant energy, the dimensional stability of the structure under high implant doses, and the possible effects of temperature rise during the implant. In all of these fabrication-aid applications, the polyimide is removed after it has performed its task. Removal of polyimides is normally accomplished in an oxygen plasma. Passivants and Interlevel Dielectrics In order for a polyimide to be useful as an interlevel dielectric or protective overcoat (passivant), additional demanding property requirements must be met. In the case of the passivant, the material must be an excellent electrical insulator, must adhere well to the substrate, and must provide a barrier for transport of chemical species that could attack the underlying device. It has been demonstrated that polyimide films can be excellent bulk barriers to contaminant ion motion (such as sodium) [10], but polyimides do absorb moisture [11,12], and if the absorbed moisture affects adhesion to the substrate, then reliability problems can result at sites where adhesion fails. However, in the absence of adhesion failure, the bulk electrical resistance of the polyimide at ordinary device operating temperatures and voltages appears to be high enough to prevent electrochemical corrosion [13]. When used as an interlevel dielectric, even greater demands are placed on the polyimide. Because integrated circuit processing includes as a final step a metal sinter at 400 °C, the interlevel insulator film must withstand such exposures without degradation of electrical, chemical, or mechanical properties. In addition, the deposition, cure, and etch process must provide for reliable interconnection between the metal layers above and beneath the film (the "via contact") [8]. Issues of ion motion, moisture uptake, and electrical conduction both in bulk and at interfaces must also be considered carefully. Ion motion can be studied using the method orignally suggested by Brown [10], and subsequently improved by Neuhaus [14]. The sample consists of a metal-polyimide-oxidesilicon (MPOS) parallel plate capacitor (Figure 2). The device is driven with a slow trianglewave voltage, and the total charge on the capacitor is measured with an electrometer. B y measuring the hysteresis in the charge-voltage characteristic of these capacitors at elevated temperature (Figure 3), the concentration and mobilities of mobile ions can be determined. The method can detect ion concentrations per unit area on the order of 2 χ 1 0 c m . A typical polyimide has about 1 ppm sodium, which for a 1 um thick film corresponds to about 1 0 ions/cm . A critical issue is whether the sodium in polyimide will spontaneously transfer to oxides under normal device fabrication conditions. Studies at cure temperatures show no spontaneous transfer [14]. Extension of such measurements to ordinary device operating temperatures (below 100 °C) is complicated by the problem of moisture absorption. Moisture uptake can be monitored gravimentrically, but is more readily monitored using parallel-plate capacitors with perforated upper electrodes (Figure 4) [12]. The capacitance of these devices is observed to depend almost linearly on ambient relative humidity in the temperature range 20 - 80 °C (see Figure 5). A n explanation for this effect is that the absorbed water molecule contributes its permanent dipole moment to the overall molar polarizability of the medium. Quantitative analysis of moisture absorption in a P M D A - O D A polyimide based on the Clausius-Mosotti equation has determined that, indeed, the dipole moment of the absorbed water molecule is equal to 0.9 times that of the free water molecule, suggesting that absorption is into microvoids created by the release of water during the imidization step [15]. Because of both moisture absorption and ion motion, it has proved difficult to carry out reproducible studies of bulk conduction at ordinary device temperatures. There is a large and somewhat contradictory literature which has been reviewed in [6] and in [13]. When a D C 10

2

12

2

In Polymers for High Technology; Bowden, M., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1987.

POLYMERS FOR HIGH T E C H N O L O G Y

430

Planarization = 1 -

Polyimide

τ

Downloaded by PURDUE UNIV on October 29, 2015 | http://pubs.acs.org Publication Date: August 26, 1987 | doi: 10.1021/bk-1987-0346.ch036

Metal

(a)

Τ

τI

ΤI