Pushing the Limits of Piezoresistive Effect by ... - ACS Publications

Nov 3, 2017 - Institute for Glycomics, and. ⊥. School of Engineering, Griffith University, Southport, ... effect in p-3C-SiC/p-Si heterostructure un...
0 downloads 0 Views 559KB Size
Subscriber access provided by READING UNIV

Letter

Pushing the limits of piezoresistive effect by optomechanical coupling in 3C-SiC/Si heterostructure Abu Riduan Md Foisal, Afzaal Qamar, Hoang-Phuong Phan, Toan Khac Dinh, Tuan-Khoa Nguyen, Philip Tanner, Erik Streed, and Dzung Viet Dao ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.7b12128 • Publication Date (Web): 03 Nov 2017 Downloaded from http://pubs.acs.org on November 4, 2017

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Applied Materials & Interfaces is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 14

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Pushing the limits of piezoresistive eect by opto-mechanical coupling in 3C-SiC/Si heterostructure Abu Riduan Md Foisal,

Khoa-Nguyen Tuan,





Afzaal Qamar,

Philip Tanner,





Hoang-Phuong Phan,

Erik W. Streed,

‡, ¶



Toan Dinh,

and Dzung Viet Dao



∗,†,§

Queensland Micro-Nanotechnology Centre, Grith University, QLD, Australia 4222, ‡Institute for Glycomics, Grith University, Southport, QLD, Australia 4222, ¶Centre for Quantum Dynamics, Grith University, Brisbane, QLD, Australia 4111, §School of Engineering, Grith University, Southport, QLD, Australia 4222.



E-mail: d.dao@grith.edu.au Phone: +61-7-555-27515. Fax: +61-7-555-28065

Abstract This paper reports a giant opto-piezoresistive eect in p-3C-SiC/p-Si heterostructure under visible light illumination. The p-3C-SiC/p-Si heterostructure has been fabricated by growing a 390 nm p-type 3C-SiC on a p-type Si substrate using the low pressure chemical vapor deposition (LPCVD) technique. The gauge factor of the heterostructure was found to be 28 under a dark condition; however, it signicantly increased to about -455 under illumination of 635nm wavelength at 3.0 mW/cm2 . This gauge factor is over 200 times higher than that of commercial metal strain gauge, 16 times higher than that of 3C-SiC thinlm, and approximately 5 times larger than that of bulk Si. This enhancement of the gauge factor was attributed to the opto-mechanical coupling 1

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

eect in p-3C-SiC/p-Si heterostructure. The opto-mechanical coupling eect is the amplied eect of the photo-conductivity enhancement and strain-induced band structure modication in the p-type Si substrate. These ndings enable extremely high sensitive and robust mechanical sensors and optical sensors at low cost, as no complicated nanofabrication process is required.

Keywords 3C-SiC, heterostructure, opto-piezoresistive, opto-mechanical coupling, gauge factor.

Strain eects in semiconductor devices have been studied extensively for numerous applications, such as, pressure sensor, 1 force sensor, 2 and inertia sensor, 3 owing to their superior ability to alter the electronic structure, and carrier mobility. 4 Piezoresistive eect is one of the most commonly employed strain sensing techniques, thanks to its high sensitivity, simple read-out circuit, and low power consumption. 5,6 Silicon (Si) is the most studied material as piezoresistive material due to its commercial availability, mature fabrication technologies, and high gauge factor (GF). 7 Recently, the piezoresistive eect in large band gap materials have been of interest for applications in harsh environments. 8,9 Among these materials, SiC emerged as a promising candidate courtesy of its high bandgap and excellent mechanical and chemical properties. 10,11 The gauge factors of the piezoresistive eect in SiC have been reported to be approximately 30, which is approximately four times smaller than Si. 9,12 To enhance the sensitivity, scaling down the piezoresistor to a nanometer-scale has drawn signicant attention recently. Motivated by the work of He and Yang, 13 which reported a giant piezoresistive eect in top down fabricated Si nanowires, a number of studies have been carried out to investigate the scale eect in the piezoresistance of SiC nanowires. 1417 Bi et al. reported a transverse gauge factor of (∼) 47 in n-type 3C-SiC nanowires (width ∼ 230 nm), which is signicantly higher than that in bulk 3C-SiC. 14 This enhancement is attributed to strain-induced changes in 2

ACS Paragon Plus Environment

Page 2 of 14

Page 3 of 14

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

the surface states in nanowires. Another method has recently been reported to enhance the sensitivity of 3C-SiC nanowires, which consists of a nano thin lm released from the substrate. 15 Moreover, a giant piezoresistive eect can be achieved when nanowire size is diminished to lower than 10 nm, where charge mobility and surface-area-to-volume ratio is high. 18 Li et al. obtained a giant GF in SiC nanowires by applying a loading force of a conductive AFM tip. 16 But, as the strain was applied by an AFM tip, the stress may be induced only in the pressed area. Most importantly, extremely sophisticated techniques are required to fabricate sub-nano wires. Furthermore, the giant piezoresistive eect in nanowires is due to dynamic properties of surface state, which varies with time, therefore, the large GF in nanowires is still controversial. 15,19 On the other hand, our work demonstrates giant piezoresistive eect in a large area of thin heterostructure, which is unprecedented. To date, most of the studies on piezoresistive eect are mainly focused on the mono functioning layer, but the inuence of bottom substrate of a heterostructure on piezo-sensitivity has not been elucidated. In this paper, we report, for the rst time, the possibility of enhancing the sensitivity of an iso-type 3C-SiC/Si heterostructure using the opto-mechanical coupling eect. The experimental data showed that a maximum GF of 455 was achieved at an illumination of 3.0 mW/cm 2 (635nm), whereas the GF was only 28 in dark conditions. Moreover, since the Si substrate is fully covered by 3C-SiC, the heterostructure would be applicable in a harsh environment, such as, highly corrosive and high pressure environments. The proposed structure is also prepared on a large surface area, indicating that it does not require any sophisticated and advanced processing to make nano-scale devices for enhancing sensitivity. Therefore, this study reveals a simple and eective way to boost the sensitivity of the device with the help of suitable light conditions. A thin 3C-SiC lm with a thickness of 390nm was epitaxially grown on p-Si (100) substrate by using a custom-made low pressure chemical vapor deposition (LPCVD) reactor at 1000◦ C; utizing SiH4 and C2 H2 as precursors. 20 Trimethylaluminium [(CH 3 )3 Al] was used

3

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

(a)

Si(400)

Intensity (a.u.)

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

3C-SiC(200)

40

(b)

3C-SiC (400)

60 80 2θ (degree)

(c)

Si

200 nm

Figure 1: Characteristics of p-3C-SiC grown on Si (100)- (a) XRD graph, (b) TEM image, and (c) SAED image. as p-type in situ doping. Details of the growth process have been discussed in the supporting information. The carrier concentration of 3C-SiC lm and Si substrate were found to be 5×1018 cm−3 and 5×1014 cm−3 , respectively, using the hot probe and Hall eect measurement techniques. Figure 1a shows the X-ray diraction analysis, which illustrates that 3C-SiC lm was epitaxially grown on Si substrate. The TEM image (Figure 1b) shows that there are some stacking fault defects near to the SiC and Si interface. These defects caused by the lattice and thermal expansion mismatch between 3C-SiC and Si. The grown SiC was single crystalline which was conrmed by the Selected Area Electron Diraction (SAED) (Figure 1c). Al electrodes were deposited on 3C-SiC and patterned to form resistors and then the wafer was diced into beams with dimensions of 40 mm ×5 mm×0.625 mm for bending experiments (Figure S1). The I-V curve shows a linear trend, which indicates that Al formed a good ohmic contact with the SiC lm (Figure S2). The opto-piezoresistive eect in 3C-SiC/Si heterostructure was measured using the experimental setup as shown in Figure S3. The performance of the heterostructure was investi-

4

ACS Paragon Plus Environment

Page 4 of 14

Page 5 of 14

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

gated under various 635nm (THORLAB S1FC635) illumination intensities. Figure 2a shows the relative resistance change of the device under dierent mechanical stresses in [110] direction at various light conditions. It was observed that the relative resistance change in 3C-SiC showed a linear behaviour to the applied tensile stresses. The GF of the heterostructure, in dark conditions, was found to be 28. However, the change in relative resistance increased signicantly under illumination, for instance, the GF was measured to be about -416 under 2.0 mW/cm2 (635nm) illumination, which is approximately 15 folds that in dark environments (Figure 2b). This gigantic boost in gauge factor may be attributed to the absorption of light in 3C-SiC layer that enhances the sensitivity of the devices or/and Si-substrate starts to contribute to the overall device sensitivity. In order to gain insight into this phenomenon, we transferred the 3C-SiC thin-lm from Si to glass substrate using the FIB (Focused Ion Beam) technique (details of transferring process have been reported in 21 ). It was observed that the resistance of the transferred 3C-SiC thin lm remained almost constant under dierent illumination intensities (635 nm), reecting that due to the large band gap, 3C-SiC is insensitive to the applied illumination (See supporting information, Figure S5). For further clarication, we measured current through the heterojunction in dark conditions (Figure S2). As observed, only 0.1% of the total current owed through the heterojunction, indicating that only 3C-SiC acts as the functioning layer and hence the GF of the device was found to be as low as 28, i.e., similar to the GF of p-type 3C-SiC thinlm. 5,9 Therefore, the high GF of over -450 obtained under illumination must come from Si substrate and the 3C-SiC/Si heterostructure. The underlying physics behind this huge modulation in strain sensitivity under illumination can be explained according to band diagram analysis (Figure 3). The band diagram of 3C-SiC/Si heterostructure at terminal A is shown in Figure 3b. Under the illumination, electron-hole (e-h) pairs are generated in Si-substrate due to the absorption of incident light. When a negative potential was applied at point A, the 3C-SiC band edges moved upward

5

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

(a)

0.1 Light illumination

∆R/R [−]

0.08

[11 0

0.06

Multi-meter

3C-SiC Al Si

]

Mass 2 mW/cm2@ 635 nm 1 mW/cm2@ 635 nm

0.04

0 mW/cm2@ 635 nm

0.02 0 0

(b)

0.5

1 1.5 Strain, ε [×10−4 ]

2

2.5

100 Resistance [Ω]

122

0 Gauge factor

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 6 of 14

−100

No load ( ε=0)

118 69.3 ppm

138.6 ppm

114

346 ppm Light: 1 mW/cm2 @ 635nm

−200

110 0

50

−300

100 150 200 Time [s]

∆R/R GF= ε

−400 −500 0.0

0.5

1.0

1.5

2.0

2.5

3.0

Light intensity [mW/cm2]

Figure 2: (a) Relative resistance changes of the device as a function of strain and (b) gauge factor at dierent light conditions (inset shows the repeatable response of the heterostructure at dierent strains (0 ∼ 346 ppm) under 1.0 mW/cm 2 illumination (635nm)). and hence the hole tunnelling barrier width became smaller (Figure 3b). In addition, when uniaxial tensile stress was applied to the heterostructure, degeneracy lifted and the valance bands were also wrapped as shown in Figure 4. 23 Heavy hole band (Ehh ) shifted to a higher energy level compared to light hole band ( Elh ) because it has a larger strain alter eective mass. 24,25 Applied tensile stress also reduced the net band splitting between Elh and Ehh , hence holes were repopulated from Ehh to Elh . 26 Due to the hole repopulation, total eective mass decreased because light hole eective mass is smaller than that of heavy hole. Further6

ACS Paragon Plus Environment

Page 7 of 14

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(a)

Light

A

B

p-Si

p-3C-SiC

(b)

Al

(c)

Ec

Ec

0.45 eV

Thermionic emission

Light EF

Light

EF

EV

EV

Hole tunneling

1.7 eV

3C-SiC

Si

3C-SiC

Si

Zero bias

Electron in dark

Electron under illumination

After bias

Hole in dark

Hole under illumination

Figure 3: (a) Electron-hole pair generation and separation in Si-substrate under light illumination and schematic band diagram of 3C-SiC/Si hetero-structure at (b) terminal A (negative bias) and (c) terminal B (positive bias). The band oset values were taken from ref. 22 more, as observed from Figure 4c, the tunnelling barrier height ( φ) of light hole is smaller than that of heavy hole and hence the eective tunnelling barrier height also decreased. Moreover, from the Drude model, it is known that the carrier mobility is inversely proportional to the eective carrier mass ( µ ∝ 1/m∗ ). 24 Therefore, due to an increase in carrier mobility and decrease in tunnelling barrier height, the hole tunnelling probability increases with uniaxial tensile stress and hence the conductivity of the heterostructure will increase with stress. The band diagram of 3C-SiC/Si heterostructure at terminal B is shown in Figure 3c. When a positive potential was applied at terminal B, the electrons were enticed from the Si-substrate to 3C-SiC mainly because of thermoionic emission over the potential barrier. In addition, when stress is induced in the 3C-SiC/Si heterostructure, the conduction band edges of both materials can shift in either direction, 27,28 which depends on the crystal orientation 7

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

(b)

(a)

Tensile stress at [110]

Unstressed E

E kz

Ehh

kz

Ehh Elh

Elh

Spin orbit split-off band (c)

Spin orbit split-off band

p-type Si

p-type SiC

Tensile stress at [110]

Wd under light

EV Unstressed

Ehh Elh

Tunneling Фlh

Фhh

Wd in dark

Valence band configuration

Ehh: Heavy hole Elh: Light hole In dark Under illumination

Figure 4: Schematic diagram of energy band structure in k space (a) unstressed and (b) under tensile stress in [110] direction. (c) Hole repopulation in valence bands of the structure under stress. Reproduced with permission from reference. 23 Copyright 2014 IEEE. and direction of applied stress. As we observed, the current through the junction increases with stress, as such, we can consider that the conduction band oset ( ∆EC ) decreases with increasing stress. 29 Therefore, the potential barrier ( VB = ∆EC + qVbi ) for thermoionic emission of electron decreased with stress, indicating that the probability of an electron reaching to SiC layer increases with stress and hence the conductivity of the heterostructure increases. As a result, a large gauge factor was observed under light. It is interesting to note that the GF of the device became negative under illumination, whereas, it was positive in dark conditions. This is because, under illumination, additional e-h pairs generated in Si-substrate which are attracted to the opposite potential terminal of 3C-SiC. In addition, the hole tunnelling at terminal A and electron ow at terminal B both increased with stress. Therefore, overall conductivity will increase signicantly under stress and light illumination. Furthermore, with the increase of illumination intensity, the amount of e-h pair generation increases and the tunnelling barrier width decreases (barrier width ( Wd ) is inversely proportional to the carrier concentration ( Na )) as shown in Figure 4. 30 Therefore, the probability of carrier tunnelling under stress from Si to 3C-SiC layer increased further. As shown 8

ACS Paragon Plus Environment

Page 8 of 14

Page 9 of 14

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

in Figure 2, the (GF) enhanced more than 270% when light intensity increased from 1.0

mW/cm2 to 3.0 mW/cm2 . In conclusion, this work has demonstrated an unprecedented approach to boost the sensitivity of piezoresistive-based sensors through the opto-mechanical coupling eect in 3CSiC/Si heterostructure. The experimental data showed that the strain sensitivity increased up to 1600% under a suitable light illumination. The underlying mechanism for signicant improvement in the gauge factor is attributed to the light-generated electron-hole (e-h) pairs and the strain-induced shifts of valance sub-bands in Si-substrate, leading to the increase of charge carrier mobility and decrease of tunnelling barrier height. Therefore, the hole tunnelling at negative-biased junction and electron ow at positive-biased junction increased with tensile stress, hence, the conductivity of heterostructure increased with tensile stress. As a result, a negative gauge factor was observed. With the increase of light intensity, more carriers would be generated in Si substrate, leading to higher probability of carriers tunnelling, and therefore, further increase of the gauge factor. This signicant nding enables development of extremely high-sensitive mechanical sensors and photodetectors based on p-3C-SiC/p-Si heterostructure.

Acknowledgement This work was performed in part at the Grith node of the Australian National Fabrication Facility. A company was established under the National Collaborative Research Infrastructure Strategy to provide nano- and microfabrication facilities for Australia's researchers. This work was partially funded by the linkage grant (LP160101553) from the Australian Research Council (ARC).

9

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 14

Supporting Information Available Device fabrication procedures are discussed in the growth process section. Fabrication process of the 3C-SiC/Si beam in Figure S1, current-voltage characteristics of the device in dark condition is in Figure S2, experimental setup is shown in Figure S3, the repeatability of device response is shown in Figure S4, and the variation of the transferred 3C-SiC on glass under the illumination of 635 nm is shown in Figure S5.

References (1) Kwon, D.; Lee, T. I.; Shim, J.; Ryu, S.; Kim, M. S.; Kim, S.; Kim, T. S.; Park, I. Highly Sensitive, Flexible, and Wearable Pressure Sensor Based on a Giant Piezocapacitive Effect of Three-Dimensional Microporous Elastomeric Dielectric Layer.

Interfaces 2016, 8, 1692216931.

ACS Appl. Mater.

(2) Dao, D. V.; Nakamura, K.; Bui, T. T.; Sugiyama, S. Micro/Nano-Mechanical Sensors and Actuators Based on SOI-MEMS Technology.

and Nanotechnology 2010, 1, 013001.

Advances in Natural Sciences: Nanoscience

(3) Amarasinghe, R.; Dao, D. V.; Toriyama, T.; Sugiyama, S. Design and Fabrication of a Miniaturized Six-Degree-of-Freedom Piezoresistive Accelerometer.

croeng. 2005, 15, 1745.

J. Micromech. Mi-

(4) Grumstrup, E. M.; Gabriel, M. M.; Pinion, C. W.; Parker, J. K.; Cahoon, J. F.; Papanikolas, J. M. Reversible Strain-Induced Electron-Hole Recombination in Silicon Nanowires Observed with Femtosecond Pump-Probe Microscopy.

Nano Lett. 2014, 14,

62876292. (5) Phan, H.-P.; Dao, D. V.; Nakamura, K.; Dimitrijev, S.; Nguyen, N.-T. The Piezoresistive Eect of SiC for MEMS Sensors at High Temperatures: A Review.

Syst. 2015, 24, 16631677. 10

ACS Paragon Plus Environment

J. Microelectromech.

Page 11 of 14

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(6) Kim, K. H.; Hong, S. K.; Jang, N. S.; Ha, S. H.; Lee, H. W.; Kim, J. M. Wearable Resistive Pressure Sensor Based on Highly Flexible Carbon Composite Conductors with Irregular Surface Morphology.

ACS Appl. Mater. Interfaces 2017, 9, 1749917507.

(7) Barlian, A. A.; Park, W.-T.; Mallon, J. R. ; Rastegar, Jr., A. J.; Pruitt, B. L. Review: Semiconductor Piezoresistance for Microsystems.

Proc. IEEE 2009, 97, 513552.

(8) Qamar, A.; Dao, D.V.; Han, J.; Iacopi, A.; Dinh, T.; Phan, H.-P.; Dimitrijev, S. PiezoHall Eect and Fundamental Piezo-Hall Coecients of Single Crystal n-Type 3C-SiC (100) with Low Carrier Concentration.

Appl. Phys. Lett. 2017, 110, 162903.

(9) Phan, H.-P.; Dao, D. V.; Tanner, P.; Wang, L.; Nguyen, N.-T.; Zhu, Y.; Dimitrijev, S. Fundamental Piezoresistive Coecients of p-Type Single Crystalline 3C-SiC.

Phys. Lett. 2014, 104, 111905.

Appl.

(10) Tangpatjaroen, C.; Grierson, D.; Shannon, S.; Jakes, J. E.; Szlufarska, I. Size Dependence of Nanoscale Wear of Silicon Carbide.

ACS Appl. Mater. Interfaces 2017, 9,

19291940. (11) Phan, H.-P.; Cheng, H. H.; Dinh, T.; Wood, B.; Nguyen, T.-K.; Mu, F.; Kamble, H.; Vadivelu, R. Walker, G.; Hold, L.; Iacopi, A.; Haylock, B.; Dao, D. V.; Lobino, M.; Suga, T.; Nguyen, N.-T. Single-Crystalline 3C-SiC anodically Bonded onto Glass: An Excellent Platform for High-Temperature Electronics and Bioapplications.

Mater. Interfaces 2017, 9, 2736527371.

ACS Appl.

(12) Hempel, M.; Nezich, D.; Kong, J.; Hofmann, M. A Novel Class of Strain Gauges Based on Layered Percolative Films of 2D Materials.

Nano Lett. 2012, 12, 57145718.

(13) He, R.; Yang, P. Giant Piezoresistance Eect in Silicon Nanowires.

2006, 1, 4246.

11

ACS Paragon Plus Environment

Nat. Nanotechnol.

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 14

(14) Bi, J.; Wei, G.; Wang, L.; Gao, F.; Zheng, J.; Tang, B.; Yang, W. Highly Sensitive Piezoresistance Behaviors of n-Type 3C-SiC Nanowires.

J. Mater. Chem. C. 2013, 1,

45144517. (15) Phan, H.-P.; Dinh, T.; Kozeki, T.; Nguyen, T.-K.; Qamar, A.; Namazu, T.; Nguyen, N.-T.; Dao, D. V. Nano Strain-Amplier: Making Ultra-Sensitive Piezoresistance in Nanowires Possible without the Need of Quantum and Surface Charge Eects.

Phys. Lett. 2016, 109, 123502.

Appl.

(16) Li, X.; Chen, S.; Ying, P.; Gao, F.; Liu, Q.; Shang, M.; Yang, W. A Giant Negative Piezoresistance Eect in 3C-SiC Nanowires with B Dopants.

4, 64666472.

J. Mater. Chem. C. 2016,

(17) Gao, F.; Zheng, J.; Wang, M.; Wei, G.; Yang, W. Piezoresistance Behaviors of p-Type 6H-SiC Nanowires.

Chem. Commun. 2011, 47, 1199311995.

(18) Nakamura, K; Dao, D.V.; Toriyama, T.; Isono, Y.; Sugiyama, S.

Nanowires, In-Tech

Publisher, 2010. (19) Rowe, A. C. H. Piezoresistance in Silicon and its Nanostructures.

29, 731744.

J. Mater. Res. 2014,

(20) Wang, L.; Dimitrijev, S.; Han, J.; Tanner, P.; Iacopi, A.; Hold, L. Demonstration of pType 3C-SiC Grown on 150mm Si(100) Substrates by Atomic-Layer Epitaxy at 1000 ◦ C.

J. Crystal Growth 2011, 329, 6770. (21) Foisal, A. R. M.; Phan, H. P.; Kozeki, T.; Dinh, T.; Tuan, K. N.; Qamar, A.; Lobino, M.; Namazu, T.; Dao, D.V. 3C-SiC on Glass: An Ideal Platform for Temperature Sensors under Visible Light Illumination.

RSC Adv. 2016, 6, 8712487127.

(22) Afanas0 ev, V. V.; Bassler, M.; Pensl, G.; Schulz, M. J.; Kamienski, E. S. V. Band Osets and Electronic Structure of SiC/SiO 2 Interfaces. 12

J. Appl. Phys. 1996, 79, 31083114.

ACS Paragon Plus Environment

Page 13 of 14

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

(23) Qamar, A.; Tanner, P.; Dao, D. V.; Phan, H. P.; Dinh, T. Electrical Properties of P-type 3C-SiC/Si Heterojunction Diode under Mechanical Stress.

Lett. 2014, 35, 12931295.

IEEE Electron Device

(24) Sun, Y.; Sun, G.; Parthasarathy, S.; Thompson, S. E. Physics of Process Induced Uniaxially Strained Si.

Mater. Sci. Eng. B. 2006, 135, 179183.

(25) Fischetti, M. V.; Laux, S. E. Band Structure, Deformation Potentials, and Carrier Mobility in Strained Si, Ge, and SiGe Alloys.

J. Appl. Phys. 1996, 80, 22342252.

(26) Choi, Y. S.; Numata, T.; Nishida, T.; Harris, R.; Thompson, S. E. Impact of Mechanical Stress on Gate Tunneling Currents of Germanium and Silicon p-Type Metal-OxideSemiconductor Field-Eect Transistors and Metal Gate Work Function.

2008, 103, 064510.

J. Appl. Phys.

(27) Uchida, K.; Krishnamohan, T.; Saraswat, K. C.; Nishi, Y. Physical Mechanisms of Electron Mobility Enhancement in Uniaxial Stressed MOSFETs and Impact of Uniaxial Stress Engineering in Ballistic Regime.

IEDM Technical Digest. 2005, 129132.

(28) Toriyama, T.; Sugiyama, S. Analysis of the Piezoresistive Eect in n-Type/spl Beta/SiC Based on Electron Transport and Deformation Potential Theory.

sium Micromechatronics Human Sci. 2000, 175180.

IEEE Int. Sympo-

(29) Qamar, A.; Dao, D. V.; Tanner, P.; Phan, H. P.; Dinh, T.; Dimitrijev, S. Inuence of External Mechanical Stress on Electrical Properties of Single-Crystal n-3C-SiC/p-Si Heterojunction Diode. (30) Sze, S. M.; Ng, K. K.

Appl. Phys. Express 2015, 8, 061302. Physics of Semiconductor Devies , John Wiley & Sons: New York,

2007.

13

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

Graphical TOC Entry Light

A

B

100 Light source

0

Gauge factor

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 14

[11 0]

−100

Multi-meter

−200

3C-SiC Al Si

p-3C-SiC

Mass

EC

−400

EC

0.45 eV

−300

p-Si

Thermionic emission

Light EF

∆R/R GF= ε

EV

−500 0.0 0.5

1.0

1.5

2.0

2.5

EF 1.7 eV

Si

3.0

Light intensity [mW/cm2]

Zero bias After bias

14

Hole tunneling

Light

EV

3C-SiC Electron in dark Hole in dark

ACS Paragon Plus Environment

Al

Si

3C-SiC Electron under illumination Hole under illumination