Selective Deposition of Dielectrics - ACS Publications - American

Nov 7, 2016 - deposited alkanethiol SAM is shown to be more effective than a solution-deposited ..... satellite peak between 938 and 946 eV also confi...
2 downloads 0 Views 3MB Size
Subscriber access provided by UNIV TORONTO

Article

Selective Deposition of Dielectrics: Limits and Advantages of Alkanethiol Blocking Agents on Metal-Dielectric Patterns Fatemeh Sadat Minaye Hashemi, Bradlee R Birchansky, and Stacey F. Bent ACS Appl. Mater. Interfaces, Just Accepted Manuscript • DOI: 10.1021/acsami.6b09960 • Publication Date (Web): 07 Nov 2016 Downloaded from http://pubs.acs.org on November 10, 2016

Just Accepted “Just Accepted” manuscripts have been peer-reviewed and accepted for publication. They are posted online prior to technical editing, formatting for publication and author proofing. The American Chemical Society provides “Just Accepted” as a free service to the research community to expedite the dissemination of scientific material as soon as possible after acceptance. “Just Accepted” manuscripts appear in full in PDF format accompanied by an HTML abstract. “Just Accepted” manuscripts have been fully peer reviewed, but should not be considered the official version of record. They are accessible to all readers and citable by the Digital Object Identifier (DOI®). “Just Accepted” is an optional service offered to authors. Therefore, the “Just Accepted” Web site may not include all articles that will be published in the journal. After a manuscript is technically edited and formatted, it will be removed from the “Just Accepted” Web site and published as an ASAP article. Note that technical editing may introduce minor changes to the manuscript text and/or graphics which could affect content, and all legal disclaimers and ethical guidelines that apply to the journal pertain. ACS cannot be held responsible for errors or consequences arising from the use of information contained in these “Just Accepted” manuscripts.

ACS Applied Materials & Interfaces is published by the American Chemical Society. 1155 Sixteenth Street N.W., Washington, DC 20036 Published by American Chemical Society. Copyright © American Chemical Society. However, no copyright claim is made to original U.S. Government works, or works produced by employees of any Commonwealth realm Crown government in the course of their duties.

Page 1 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Selective Deposition of Dielectrics: Limits and Advantages of Alkanethiol Blocking Agents on Metal-Dielectric Patterns Fatemeh Sadat Minaye Hashemi‡, Bradlee R. Birchansky †, and Stacey F. Bent*,† ‡

Department of Materials Science and Engineering and †Department of Chemical Engineering, Stanford, California

94305-5025, United States

Key words: Area selective ALD, alkanethiols, self-assembled monolayers, ZnO, metal-dielectric pattern

Abstract Area selective atomic layer deposition has the potential to significantly improve current fabrication approaches by introducing a bottom-up process in which robust and conformal thin films are selectively deposited onto patterned substrates. In this paper, we demonstrate selective deposition of dielectrics on metal/dielectric patterns by protecting metal surfaces using alkanethiol blocking layers. We examine alkanethiol self-assembled monolayers (SAMs) with two different chain lengths deposited both in vapor and in solution and show that in both systems, thiols have the ability to block surfaces against dielectric deposition. We show that thiol molecules can displace Cu oxide, opening possibilities for easier sample preparation. A vapordeposited alkanethiol SAM is shown to be more effective than a solution-deposited SAM in blocking ALD, even after only 30 seconds of exposure. The vapor deposition also results in a much better thiol regeneration process and may facilitate deposition of the SAMs on porous or three-dimensional structures, allowing for the fabrication of next generation electronic devices.

Introduction Selective deposition of materials on a patterned structure is expected to play an important role in the fabrication of nanoscale 2D and 3D electronic and optoelectronic devices. Due to the downward scaling of electronic device structures in recent years, the fabrication of these structures faces increasing challenges. The top-down fabrication process using conventional

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 2 of 33

lithographic patterning is becoming less satisfactory due to the fact that it consists of many steps and results in misalignments when used for 3D structures and multi-layer 2D structures. On the other hand, selective deposition approaches provide direct deposition of different materials only on regions of the substrate where they are desired, without the need for as many lithography steps. Due to its chemical specificity and its precise control over the thickness, conformality and uniformity of the deposited film, atomic layer deposition (ALD) has received much attention as a technique for achieving selective deposition over large areas. The selective deposition can be realized by manipulating surface functional groups in a pattern prior to deposition to either block or allow film growth as desired1,

2

ALD is already a widely-used deposition method in the

production of today’s electronic devices.3,

4

Nano-patterning using area selective ALD (AS-

ALD) is thus expected to facilitate fabrication of next generation electronic and sensing devices, and might be particularly useful for the 2D or 3D metal/dielectric patterns found in integrated circuits, transistor backend, interconnects and FinFET structures. Studies of AS-ALD have shown successful deposition of both metals and dielectrics by modifying the surfaces prior to ALD in order to inhibit growth on the substrate, or in some cases by relying on the inherent selectivity5, 6 of materials deposition on some surfaces versus others. Surface modification has typically been performed using self-assembled monolayers (SAMs)7-20 or unreactive polymers.21-25 In a recent report, surface modification by ion implantation of fluorocarbon materials on the surface resulted in topographical selectivity of Pt on Si substrates.26 Organic SAMs form spontaneously on surfaces and have been widely studied for their properties when deposited on solid substrates: their role in nanoscience for the fabrication of micro and nanostructures, for understanding interfacial phenomena on thin films, and for applications such as chemical sensing and protection of metals against corrosion is well established.27-38 SAMs have also been used successfully as a blocking layer in AS-ALD of both metals and metal oxides on metal/dielectric patterns and dielectric/dielectric patterns. For example, phosphonic acid SAMs have been used to block ZnO and Al2O3 deposition on Cu surface,16,

19, 39

and silane

SAMs have inhibited ALD of HfO2, ZrO2, Co, and Pt on Si and SiO2 surfaces.7-10, 18 Another SAM system that is known to form a highly passivating layer on metal surfaces is alkanethiols.

ACS Paragon Plus Environment

Page 3 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Various studies have focused on the formation mechanisms and properties of alkanethiols on Au, Ag, Pt, Pd, Al and Cu, mainly for applications such as corrosion protection and surface modification.27-38 In the literature, the preparation of the thiol monolayer has been performed by both solution and vapor processes. In most cases, the surface was pre-treated prior to thiol SAM formation. For example, in studies of the assembly of thiols on Cu surfaces, the Cu oxide is typically removed using acids or plasma etching prior to SAM deposition.12, 28-30, 34 Some studies have focused on the properties of thiol SAMs as passivation layers against metal and dielectric ALD growth.11, 12, 20, 40

In this paper, we study the use of two different linear alkanethiol monolayers -- octadecanethiol (ODT) and dodecanethiol (DDT) -- for selective blocking of Cu oxide-covered Cu versus SiO2covered Si against ALD. We study the effect of alkanethiol SAMs on the surface properties of Cu, showing that during adsorption on the surface, the thiol molecules displace Cu oxide, achieving the same effect as etching Cu oxide with strong acids.41-44 We also compare the degree of order and the blocking ability of alkanethiol SAMs on Cu when SAM formation is performed from alcohol solution versus from the vapor phase. We show that the deposition time for SAMs from vapor is significantly reduced compared to that from solution, consistent with previous reports,12, 20, 35 an effect likely due to the different kinetics of molecular adsorption onto a surface from the vapor phase33, 35, 36 and to the undiluted exposure of the surface to SAM molecule in vapor as compared to ethanolic solution of SAMs. We demonstrate AS-ALD of two different metal oxides, ZnO and TiO2, on Si while Cu surfaces are passivated with thiol SAMs. ZnO45 and TiO246, 47 were chosen as model metal oxide materials for selective ALD due primarily to their facile ALD processes and their potential in fields such as optical and electronics devices and energy harvesting. Finally, our results show that SAM preparation in vapor results in better blocking against ALD of these metal oxides. We have recently reported48 that combining selective deposition with sequential regeneration of the SAM blocking layer from the vapor can increase the maximum selective ALD film thickness achieved. The re-dosing process improves the blocking ability of alkanethiol SAMs against ALD and can be repeated as many times as needed to help achieve higher selective deposition limits. In this paper, we show that the re-dosing process results in the best selectivity when performed in

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 4 of 33

vapor compared to solution. Our experiments show that the re-dosing process from solution results in undesired adsorption of alkanethiol molecules onto dielectric areas, prohibiting further growth via ALD. This report provides an understanding of the limits and advantages of using thiol SAMs for AS-ALD.

Experimental Methods

Copper (Cu) blanket substrates and Cu/Si patterns were provided by our collaborators. Single sided Si wafers (from WRS Materials) with a native oxide layer of approximately 1.8 nm were used for experiments on blanket substrates, for ellipsometry measurements, and as the reference substrates for ALD. Cu blanket films were sputter deposited on SiO2-covered Si by an argonbased plasma. The thickness of Cu films is less than a hundred nanometers. Due to the surface nature of SAM formation, the thickness of the Cu film does not play an important role

in

the

selective deposition of SAMs or AS-ALD. High temperature post-sputter annealing of the Cu substrates was performed to enable recrystallization and improve film quality. The Cu films are covered with a Cu oxide. Atomic force microscopy (AFM) estimates the surface roughness of the Cu-coated wafers to be 0.8 nm. Patterned Cu-on-Si substrates were prepared via conventional lithography, with the Cu approximately 50 nm thick and the Si covered by SiO2. Cu/Si patterns contain metal and dielectric features with sizes ranging from 1 to 100 µm. DDT (>98%, Sigma Aldrich) and ODT (>98%, Sigma Aldrich) were used without further purification. For both vapor and solution SAM deposition, the substrates were first cleaned by sonication in ethanol for 10 minutes to remove organic contaminants, dried with nitrogen, then exposed to 2 minutes of UV/ozone for the final cleaning step. For vapor deposition of DDT, samples were transferred immediately after cleaning to a custom built SAM deposition chamber. The hot wall SAM deposition chamber consists of 2-3/4" conflat (CF) reducing cross. The valved glass vessel (10 ml) containing the DDT SAM precursor is connected to 1-1/3" CF ports and separated from the chamber by Swagelok manual valves. This section is attached to another CF cross where two ports are connected to a pressure gauge and a thermocouple feedthrough. The chamber was kept under vacuum and vented with a flow of nitrogen when samples were transferred. The SAM deposition was performed at 60°C by

ACS Paragon Plus Environment

Page 5 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

exposing the sample inside the chamber to 60 mTorr pressure of DDT for times ranging from 30 seconds to 2 hours. After SAM formation was completed, samples were sonicated for 30 seconds in pure ethanol (Fisher Scientific), to remove any excess thiol molecules from the surface, and dried under flow of nitrogen. Due to the higher melting point and bulkier structure of ODT compared to DDT, only DDT was used for vapor deposition experiments. For solution deposition of ODT and DDT, cleaned substrates were immersed in 10mM solution of the thiols in pure ethanol (Fisher Scientific) held at a controlled temperature of 40°C for 30 minutes to 48 hours. Samples were then sonicated in pure ethanol and dried with nitrogen. Substrates that were not immediately transferred to the reactor for ALD were typically kept inside a sealed container and stored inside a dry, air-purged glovebox. Thiol-coated substrates were transferred into a GemStar 6 reactor (Arradiance Inc.) reactor for the ALD processes. ZnO ALD was performed at 120°C in using diethylzinc (DEZ, Sigma Aldrich) and water as precursors. One cycle of ZnO ALD included reactant pulse times of 30 ms for both precursors, and nitrogen purge times of 10 s between pulses. TiO2 ALD was performed at 120°C using titanium isopropoxide (TIP, Sigma Aldrich) precursor pulsed for 100 ms, and water pulsed for 1000 ms, with nitrogen purge times of 90 s after each cycle. At the above ALD conditions, deposition exhibits linear growth curves with growth per cycle of 0.28 Ȧ/cycle and 1.9 Ȧ/cycle for TiO2 and ZnO, respectively. After film deposition, samples were removed from the reactor for ex situ characterization and analysis. Water contact angle (WCA) measurements were performed with an FTA 200 instrument. Five μL of de-ionized water (Millipore) was brought into contact with the samples to analyze the wettability of the films on the surfaces. For vibrational spectroscopy studies, attenuated total reflection infrared (ATR-IR) spectroscopy was performed using a Nicolet iS50 instrument. The resolution of the IR measurements was 2 cm-1 with each spectrum collected between 350-4000 cm-1 for 200 scans. Clean Cu and Si samples were used as references for the background IR spectra. Film thickness on the Si substrates was measured using an Alpha-SE ellipsometer by J. A. Woollam Co. with a spectral range of 380 nm to 900 nm at three different angles of incidence (65°, 70° and 75°) and with the polarizer set to 45°. Thin film modeling and film thickness analysis were performed by CompleteEASE software. A PHI Versa Probe Scanning XPS Microprobe with Al Kα radiation of 1486 eV was used for compositional analyses. The x-ray

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

beam diameter was 200 µm with 42 W power and measurements were collected on several different points on each sample. Auger electron mapping and composition analysis on patterned substrates were performed on a PHI 700 Scanning Auger Nanoprobe with electron beam energy between 10kV-20kV. AFM was performed on a Park System XE-100 microscope in noncontact mode with a scan size of 5 × 5 μm.

Results and Discussion

The preferential deposition of alkanethiol SAMs on Cu oxide-covered Cu compared to that on SiO2-covered Si was studied by water contact angle measurements. WCA results show that the surface hydrophobicity of Cu substrates increases after treatment with both solution and vapor deposition of DDT and with solution deposition of ODT after just several minutes exposure. On the other hand, the WCA for the Si surface after exposure to different alkanethiols shows that the surface hydrophobicity of Si remains unchanged even after 48 hours of treatment with thiols (Figure 1a). WCA results on Si sustrates treated with a solution of DDT are not shown here but demonstrate similar trends to that of vapor-exposed DDT SAMs. The IR peak frequencies are sensitive to the degree of order within the SAM. In particular, the position of the ethylene (CH2) stretching mode, together with its intensity and shape, indicate the degree of crystallinity of the organic monolayer.15, 49, 50 For highly ordered, solid-like alkanes, the position of the symmetric, νs (CH2), and antisymmetric, νa (CH2) methylene peaks are at 2850 and 2918 cm-1, respectively. However, for disordered, liquid-like alkanes these peaks are shifted to higher wavenumbers of 2856 and 2926 cm-1, respectively. The degree of crystallinity is important because highly ordered, hydrophobic SAMs formed on the metal surface can protect the substrate from ALD15, whereas less ordered SAMs are known to allow ALD. Figure 1b shows the IR spectra for as-deposited alkanethiol SAMs on Cu. For ODT deposition from solution and DDT deposition from both vapor and solution, the νs (CH2) and νa (CH2) peaks are at 2850 cm-1 and 2919 cm-1, respectively, confirming that a highly ordered, solid like monolayer is formed on the Cu sample. The shoulder peak located at 2935±1 cm-1 is related to the split of va(CH3) due to Fermi resonance interactions with the lower frequency asymmetric CH3

ACS Paragon Plus Environment

Page 6 of 33

Page 7 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

deformation mode. The effects of the Fermi resonance interaction on the spectra of the disordered and ordered chain are similar.49,50

Figure 1: (a) WCA on Cu and Si substrates treated with DDT in both vapor and solution and ODT in solution, (b) ATR-IR spectra on Cu substrates after DDT SAM formation in vapor for 1 min, DDT SAM formation in solution for 2 hours and ODT SAM formation in solution for 2 hours

Both the WCA and IR measurements indicate that there are negligible differences in the quality and packing density of the alkanethiol SAMs with different chain lengths and using different deposition methods. To study the effect of Cu surface preparation and cleaning treatments on the quality of the SAMs and the resulting selective deposition limits, ex situ XPS analyses were performed on Cu samples. The high resolution Cu 2p and O 1s XPS scans on the as-received Cu substrate show a significant amount of Cu oxide (O/Cu ratio of 1.7) formed due to the surface being exposed to air (Supporting Information Figure S1b). XPS results show that after UV/ozone treatment, the surface is even more highly oxidized (O/Cu ratio: 4) (Figure 2a). If the UV/ozone-cleaned samples are dipped in a 5% HCl solution, XPS analysis shows almost no Cu oxide present on the surface due to etching of the oxide by the acid30, 44 (Supporting Information Figure S1b).

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

The UV/ozone-cleaned, highly oxidized Cu surfaces were then exposed to ODT or DDT either in solution or vapor. XPS results show no oxide remaining on these surfaces, revealing a similar surface to that of the HCl-cleaned substrates (Figure 2a, Supporting Information Figure S1a,b). High resolution scans over the Cu 2p peak (Figure 2a) show metallic Cu (932.5 and 952.3 eV) on the surface of thiol-treated substrates while on UV/ozone-treated samples a significant amount of Cu oxide and Cu(OH)2 (934.6 and 954.4 eV) is detected. The shake-up satellite peak between 938 and 946 eV also confirms the presence of Cu2+ on the UV/ozone cleaned samples. Highresolution XPS analysis of the O 1s region shows almost no oxygen on thiol treated Cu samples compared to the UV/ozone cleaned sample (Figure 2b). The absence of a Cu oxide as confirmed by XPS indicates that not only is thiol exposure from either vapor or solution able to remove the Cu oxide, but also that the high packing density of the alkanethiol SAMs protects the Cu surface from re-oxidation when exposed to air during the ex situ analysis. Consistent with the formation of an alkanethiol SAM, a strong sulfur peak is observed on Cu substrates treated with ODT and DDT (Supporting Information Figure S2). The sulfur doublet is fitted using an intensity ratio of 2:1 and energy separation of 1.18 eV.51,

52

Only Cu thiolate binding (Cu-S at 162.4 eV) is

observed on the thiol exposed Cu samples with negligible amount of unbound thiols (163.8 eV). XPS results confirm that the Cu oxide has been displaced by S-Cu on the surface, showing reduced Cu (Cu(0) or Cu(I), which have similar binding energies) after the samples are treated with thiols.32, 51, 52 The results are consistent with previous reports that have also noted the ability of thiol SAM solutions to remove Cu oxide. 51-53 It is interesting to consider which component of the reactants used to form the alkanethiol SAMs removes the Cu oxide. For the case of thiol deposition in solution, in order to determine if the alcohol solvent, rather than the thiol molecules, was responsible for removing the Cu oxide, we dipped UV/ozone cleaned Cu substrates in anhydrous ethanol solution for four hours. XPS results show no change in oxide concentration after this ethanol treatment, indicating that thiol molecules must be responsible for the removal of the oxide layer on the surface (Supporting Information Figure S1b). The role of the thiol, and not the solvent, in removing the Cu oxide is confirmed by the results of the vapor exposure experiments, in which the Cu surface is exposed only to neat DDT and no ethanol is present (Figure 2b). These results suggest that no etching of Cu oxide prior to alkanethiol SAM deposition is required because the thiol itself can remove the Cu oxide. The ability to avoid etching treatment is advantageous since the drawbacks of using

ACS Paragon Plus Environment

Page 8 of 33

Page 9 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

acids, such as roughening the surface of the Cu,54-56 can be avoided. Further experiments (not shown here) confirm that acid treatment of Cu surfaces prior to thiol deposition results in increasing roughness of the surface (rms: 7.6 nm) followed by deposition of less stable thiol SAMs that exhibit limited blocking properties against ALD. To understand the role of the thiol in removing the Cu oxide, we consider the following chemical reactions, shown for dodecanethiol (DDT). According to the literature, the adsorption of thiols on Cu oxide occurs through the exchange of Cu oxide by thiols upon adsorption of thiol molecules on the surface.57 In that model, the outermost oxide layer (CuO) is gradually reduced to Cu2O (eq. 1) followed by reaction of the thiol with Cu2O (eq. 2) resulting in Cu-S bond formation.51-53, 58, 59 2HSC12 H25 + 2CuO

Cu2

+ H2O

2HSC12 H25 + Cu2O

2Cu(SC12H25) + H2O

(eq. 1) (eq. 2)

Here R corresponds to C12 H25. AFM analyses on Cu samples after thiol SAMs deposition show similar surface morphologies to cleaned blanket Cu substrate (Supporting Information Figure S3). Further analysis on the substrate-thiol interface would be helpful to indicate the resulting morphology on the Cu substrate as a result of thiol adsorption on the surface.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 10 of 33

Figure 2: (a) Cu 2p high-resolution XPS scans on Cu samples: UV/ozone cleaned and after DDT and ODT deposition, (b) O 1s high-resolution XPS scans on Cu cleaned by UV/ozone, and after ODT and DDT deposition To analyze the ability of the alkanethiol SAMs to block metal oxide deposition, ZnO ALD was performed on Cu samples treated with different thiol SAMs and compared to ALD on an untreated blanket Cu reference. XPS analysis on the untreated Cu reference after ALD (Figure 3a) shows an increase in Zn concentration as the number of ALD cycles increases. On the other hand, on all the Cu substrates treated with thiols, some blocking is achieved against ZnO ALD. For the SAMs formed from solution, the longer chain thiol molecule (ODT) shows better blocking against ZnO ALD (no Zn is observed for up to 100 cycles), compared to the shorter thiol molecule (DDT), for which no Zn is detected up to 50 cycles. The best blocking is achieved on Cu samples treated with DDT SAMs deposited from the vapor for only 30 seconds, showing near-perfect blocking up to about 200 cycles of ZnO ALD (Figure 3a). This confirms the advantage of vapor deposition of thiols compared to the more commonly used solution process. To determine if the DDT or ODT treatment affected ZnO growth on silicon, ZnO ALD was performed on DDT- and ODT-treated silicon substrates and the thickness measured by spectroscopic ellipsometry. The results show that the same degree of ZnO growth occurs on the Si substrates treated with thiol SAMs as on blanket Si reference samples (Figure 3b), indicated that silicon is unaffected by the thiol exposure.

ACS Paragon Plus Environment

Page 11 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 3: (a) Zn concentration results obtained from XPS after ZnO ALD was carried out on a Cu reference sample and on Cu passivated with DDT (vapor and solution) and ODT (solution) SAMs. (b) ZnO thickness measured by ellipsometry after ZnO ALD on Si passivated with DDT (vapor and solution) and ODT (solution) SAMs and on a Si reference sample.

The effect of thiol exposure time on the blocking ability of the SAMs against ALD was studied by varying the formation time of DDT SAMs on Cu from 30 seconds to 4 hours both in solution and in vapor. XPS results (Supporting Information Figure S4) show for DDT deposited in solution that somewhat better selectivity is achieved when exposure time is increased to 4 hours. For DDT deposited in vapor, 30 seconds of exposure is sufficient for achieving the highest selectivity. Results also confirm that SAMs formed from vapor achieve better blocking against ALD (Supporting Information Figure S4). In order to investigate selective deposition on patterned substrates, Cu/Si patterns were treated with different alkanethiol SAMs. 100 cycles of ZnO ALD was then performed on the treated, patterned substrates. Figure 4a and b shows an SEM micrograph and a Zn Auger map, respectively, on a Cu/Si pattern treated with ODT SAM solution for 4 hours followed by 100 cycles of ZnO ALD. Figure 4 c and d are the respective SEM and Zn Auger mapping images from a similar Cu/Si pattern after being exposed to DDT SAM solution for 2 hours and 100 cycles of ZnO ALD. SEM images from smaller patterns treated with DDT SAMs in vapor for 30

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 12 of 33

seconds and after 100 cycles of ZnO ALD are shown in Figure 4 (e, g). Figure 4 (f, h) show the Auger Zn maps of these patterns after ZnO ALD is performed on the samples. Taken together, the Auger Zn map results in Figure 4 consistently show highly selective deposition of ZnO on the Si areas of the patterns, with no Zn detected on the Cu areas with features as small as 1 µm. While successful selective deposition of dielectrics is observed on the patterns using thiol blocking layers, nanoscale and high aspect ratio structures should be explored next for investigating the possible opportunities provided by this method in fabrication of structures whose critical size is on the order of 10 nm.

Figure 4: (a, b) SEM image and Auger Zn map on Cu/Si patterns passivated with ODT in solution for 4 hours followed by 100 cycles of ZnO ALD; (c, d) SEM and Auger Zn map on Cu/Si patterns passivated with DDT in solution for 2 hours followed by 100 cycles of ZnO ALD; (e, f, g, h) SEM and Auger Zn map on fine Cu/Si patterns passivated with DDT in vapor for 30 seconds followed by 100 cycles of ZnO ALD

To explore the general blocking ability of the alkanethiol SAMs toward deposited metal oxides, ALD of TiO2 was also carried out on Cu samples passivated by DDT SAMs. The results of XPS

ACS Paragon Plus Environment

Page 13 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

measurements looking at the Ti signal (Supporting Information Figure S5) show that DDT prevents TiO2 ALD on the Cu samples for up to at least 400 cycles, corresponding to 12 nm TiO2 growth on Si. In contrast, TiO2 growth on unprotected Cu begins by 50 cycles. Measurements on reference Si samples treated by alkanethiol SAMs show that TiO2 deposition is not inhibited on Si (data not shown). The selective deposition of TiO2 on patterned substrates was performed following exposure to DDT vapor for 30 seconds. SEM images and Auger mapping results on two different patterns after 300 cycles of TiO2 are shown in Figure 5. The elemental map analyses for Ti show no Ti on the Cu regions of the patterns, confirming high selectivity in deposition of TiO2.

Figure 5: (a, c) SEM images on Cu/Si patterns passivated with DDT SAMs in vapor, (b, d) Auger Ti map images obtained on Cu/Si patterns passivated with DDT SAMs after 300 cycles of TiO2 ALD is performed on the patterns

We have recently shown that the selective deposition limit can be increased by regenerating SAMs on metals.48 During the ALD process, due to heating effects and precursor reactions with the SAM, desorption and disordering of the SAM blocking layer may occur, resulting in the generation of pinholes that can act as nucleation sites for ALD. This limits the ultimate deposition selectivity. In order to improve the blocking ability of SAMs, thiols may be re-dosed to regenerate the SAM after some number of ALD cycles. The re-dosing may be repeated for as many times as needed and can significantly improve the quality of the blocking layer on Cu/Si patterns and consequently the selective deposition limits.48

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 14 of 33

We tested the re-dosing strategy on the systems studied in this work. Figure 6a shows XPS analysis on SAM-covered Cu samples that after every 150 cycles of ZnO ALD were subjected to 30 seconds of DDT SAMs followed by sonication in ethanol (referred to as one re-dosing step for DDT SAMs), and Cu samples that after every 100 cycles of ZnO ALD were exposed to 4 hours of ODT SAMs and sonication in ethanol (referred to as one re-dosing step for ODT SAMs). The results confirm that no ZnO is present on these Cu samples for up to more than 600 cycles of ZnO ALD (Figure 6a). However, significant differences were observed between the vapor and the solution process. When the re-dosing process is performed in vapor using DDT, the growth process on Si continues identically to that on a reference Si sample (Figure 6b). However, when re-dosing is performed in solution for either DDT (data not shown) or ODT SAMs, the ZnO growth rate on Si is lowered as the re-dosing is repeated and the number of ALD cycles increases. We suggest that thiol molecules are adsorbed from solution on the ZnO-coated Si surfaces, eventually leading to blocking of ALD on the Si regions of the substrate. WCA measurements on ZnO-coated Si substrates exposed to thiol molecules in solution confirm that the surface becomes hydrophobic (WCA:105°±5), consistent with adsorption of thiol molecules, while the WCA on the samples remains unchanged when the re-doing process is carried out in vapor (WCA:30°±3). Moreover, XPS analysis comparing the surface composition of the Si samples after thiol redosing in solution versus vapor followed by ZnO ALD shows significantly more carbon concentration and much less Zn content after re-dosing from solution (Supporting Information Figure S6). We propose the following explanation for the difference between the vapor and solution processes. The short re-dosing (30 seconds) of thiol molecules that is allowed by the faster vapor process minimizes the amount of physisorbed molecules on the ZnO film. However, the longer thiol exposure time (up to 4 hours) required for the re-dosing process in solution leads to measurable thiol adsorption and prohibits effective removal of thiol molecules from ZnO surface during the subsequent sonication/cleaning process.

ACS Paragon Plus Environment

Page 15 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 6: (a) Zn concentration on Cu substrates measured by XPS after various number of ZnO ALD cycles, on surfaces protected with ODT and DDT SAMs, comparing the blocking effect when SAMs are regenerated on the substrates (b) Ellipsometry results comparing ZnO thickness on Si substrates with DDT and ODT SAM, re-dosed DDT and ODT SAMs, and reference Si wafer after different number of ZnO ALD cycles

In order to minimize the adsorption of ODT molecules on ZnO during the solution re-dosing process, we tested shorter re-dosing time of the SAMs (2 hours). We also tested rinsing the substrates with different solvents including water, ammonia and ethanol after thiol re-dosing. Furthermore, in order to facilitate desorption of thiols from the dielectric surface, ALD of ZnO was performed at a higher temperature (150°C). XPS results show that performing ALD at the higher temperature affects the stability of the SAMs on Cu, resulting in a reduced ability to block ZnO ALD on Cu (Supporting Information Figure S7a). Moreover, as shown by the ellipsometry results on Si, none of these approaches appeared to be effective in fully removing the excess thiol molecules absorbed on ZnO, as evidenced by the slower growth rate for ZnO ALD on Si following re-dosing (Supporting Information Figure S7b). These results indicate that in addition to the primary advantages of depositing alkanethiol SAMs from vapor including shorter deposition time and better selectivity against metal oxide ALD, vapor deposition of the blocking

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 16 of 33

layers is also a more effective strategy for regeneration of the SAMs by re-dosing, allowing significantly higher selective deposition limits to be achieved.

Conclusions We demonstrate AS-ALD of ZnO and TiO2 thin films on the Cu/Si system, in which Cu surfaces are blocked by ODT and DDT SAMs. XPS results confirm that Cu oxide is being removed when exposed to thiol molecules in both vapor and solution, eliminating the need for oxide removal prior to SAMs deposition. We quantify the selective deposition limit when SAMs are formed from vapor versus solution. While most of the studies on AS-ALD using SAM blocking layers have focused on solution deposition of the SAMs, the results reported in this work highlight the advantages of the vapor deposition of SAMs to further facilitate the use of AS-ALD processes in the fabrication of nanostructures. The results show that vapor deposition of the thiol blocking layer is more effective against ALD, even when it has been deposited for only 30 seconds. In addition, vapor deposition provides the ability to significantly improve selective deposition up to higher film thicknesses, when regenerating the DDT SAMs from the vapor in between ALD cycles, and has the potential to be used on porous or three-dimensional structures. Vapor delivery of SAMs may simplify the selective deposition process opening up the possibility for further improvements in fabrication process of complex structures in electronic devices.

Conflict of Interest: The authors declare no competing financial interest. Supporting Information Available: Figure S1: Cu high-resolution XPS on Cu samples, UV/ozone cleaned, DDT and ODT thiol deposition, Cu as-received, ethanol dip, HCl cleaned, Figure S2: S high-resolution XPS on Cu samples, DDT and ODT thiol deposition, Figure S3: AFM measurements done on (a) Cu blanket substrate cleaned by ethanol sonication and UV/Ozone treatment; and (b) Cu sample after DDT SAM deposition for 5 min in vapor, Figure S4: XPS Zn concentration results on Cu comparing selective blocking of ZnO on Cu passivated with DDT vapor and solution deposited for different time, Figure S5: XPS Ti concentration results on Cu comparing selective blocking of TiO2 on Cu passivated with DDT vapor and ODT solution,

ACS Paragon Plus Environment

Page 17 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure S6: XPS survey scan on Si substrates coated with ZnO thin film and after DDT thiol exposure in vapor (red curve) versus ODT thiol deposition in solution (blue curve) comparing composition analysis on the two surfaces, Figure S7: XPS and ellipsometry results: Effect of different cleaning methods on blocking ability of ODT regenerated on Cu and thickness of ZnO deposited on ODT regenerated Si.

The Supporting Information is available free of charge on the ACS Publications website. Author Information Corresponding Author *E-mail: [email protected] Acknowledgments. This publication was based on work supported by the Semiconductor Research Corporation (SRC) and Intel Corporation. Part of this work was performed at the Stanford Nano Shared Facilities (SNSF). F.S.M.H acknowledges funding from the Kodak Graduate Fellowship.

References: 1. Lee, H.-B.-R.; Bent, S. F., Nanopatterning by Area-Selective Atomic Layer Deposition. In . At. Layer Deposition Nanostruct. Mater., Wiley-VCH Verlag GmbH & Co. KGaA: 2012; pp 193-225. 2. Mackus, A. J. M.; Bol, A. A.; Kessels, W. M. M., The Use of Atomic Layer Deposition in Advanced Nanopatterning. Nanoscale 2014, 6 , 10941-10960. 3. Lowrey, T. A.; Dennison, C. H., Utilizing Atomic Layer Deposition for Programmable Device. US Patent No. 6511867 B2: 2003. 4. Park, I.; Kim, Y.; Lee, S.; Kim, B.; Park, C. Integrated Circuit Devices Having Buffer Layers Therein Which Contain Metal Oxide Stabilized by Heat Treatment Under Low Temperature, US Patent No. 6144060, 2000. 5. Tao, Q.; Jursich, G.; Takoudis, C., Selective Atomic Layer Deposition of HfO2 on Copper Patterned Silicon Substrates. App. Phys. Lett. 2010, 96. 6. Tao, Q.; Overhage, K.; Jursich, G.; Takoudis, C., On the Initial Growth of Atomic Layer Deposited TiO2 Films on Silicon and Copper Surfaces. Thin Solid Films 2012, 520, 6752-6756. 7. Lee, H.-B.-R.; Kim, H., Area Selective Atomic Layer Deposition of Cobalt Thin Films. ECS Trans. 2008, 16, 219-225.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 18 of 33

8. Lee, W.; Prinz, F. B., Area-Selective Atomic Layer Deposition Using Self-Assembled Monolayer and Scanning Probe Lithography. J. Electrochem. Soc. 2009, 156, G125-G128. 9. Chen, R.; Kim, H.; McIntyre, P. C.; Bent, S. F., Self-assembled Monolayer Resist for Atomic Layer Deposition of HfO2 and ZrO2 High-κ Gate Dielectrics. App. Phys. Lett. 2004, 84, 4017-4019. 10. Chen, R.; Kim, H.; McIntyre, P. C.; Bent, S. F., Investigation of Self-Assembled Monolayer Resists for Hafnium Dioxide Atomic Layer Deposition. Chem. Mater. 2005, 17, 536544. 11. Dong, W.; Zhang, K.; Zhang, Y.; Wei, T.; Sun, Y.; Chen, X.; Dai, N., Application of Three-Dimensionally Area-Selective Atomic Layer Deposition for Selectively Coating the Vertical Surfaces of Standing Nanopillars. Sci. Rep. 2014, 4, 4458. 12. Färm, E.; Vehkamäki, M.; Ritala, M.; Leskelä, M., Passivation of Copper Surfaces for Selective-Area ALD Using a Thiol Self-Assembled Monolayer. Semicond. Sci. Technol. 2012, 27, 074004. 13. rm, . Kemell, M. itala, M. Les el , M., Selective-Area Atomic Layer Deposition with Microcontact Printed Self-Assembled Octadecyltrichlorosilane Monolayers as Mask Layers. Thin Solid Films 2008, 517, 972-975. 14. Hashemi, F. S. M.; Prasittichai, C.; Bent, S. F., A New Resist for Area Selective Atomic and Molecular Layer Deposition on Metal-Dielectric Patterns. J. Phys. Chem. C 2014, 118, 10957-10962. 15. Hong, J.; Porter, D. W.; Sreenivasan, R.; McIntyre, P. C.; Bent, S. F., ALD Resist Formed by Vapor-Deposited Self-Assembled Monolayers. Langmuir 2006, 23, 1160-1165. 16. Minaye Hashemi, F. S.; Prasittichai, C.; Bent, S. F., Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition. ACS Nano 2015, 9, 8710-8717. 17. Chen, R.; Kim, H.; McIntyre, P. C.; Porter, D. W.; Bent, S. F., Achieving Area-Selective Atomic Layer Deposition on Patterned Substrates by Selective Surface Modification. Appl. Phys. Lett. 2005, 86, 191910. 18. Chen, R.; Bent, S. F., Chemistry for Positive Pattern Transfer Using Area-Selective Atomic Layer Deposition. Adv. Mater. 2006, 18, 1086-1090. 19. Hashemi, F. S. M.; Prasittichai, C.; Bent, S. F., A New Resist for Area Selective Atomic and Molecular Layer Deposition on Metal Dielectric Patterns. J. Phys. Chem. C 2014, 118, 10957-10962. 20. Avila, J. R.; DeMarco, E. J.; Emery, J. D.; Farha, O. K.; Pellin, M. J.; Hupp, J. T.; Martinson, A. B. F., Real-Time Observation of Atomic Layer Deposition Inhibition: Metal Oxide Growth on Self-Assembled Alkanethiols. ACS Appl. Mater. Interfaces 2014, 6, 1189111898. 21. rm, E.; Kemell, M.; Ritala, M. Les el , M., Selective-Area Atomic Layer Deposition Using Poly(methyl methacrylate) Films as Mask Layers. J. Phys. Chem. C 2008, 112, 1579115795. 22. Färm, E.; Kemell, M.; Santala, E.; Ritala, M.; Leskelä, M., Selective-Area Atomic Layer Deposition Using Poly(Vinyl Pyrrolidone) as a Passivation Layer. J. Electrochem. Soc. 2010, 157, K10-K14. 23. Gay, G.; Baron, T.; Agraffeil, C.; Salhi, B.; Chevolleau, T.; Cunge, G.; Grampeix, H.; Tortai, J. H.; Martin, F.; Jalaguier, E.; Salvo, B. D., CMOS Compatible Strategy Based on Selective Atomic Layer Deposition of a Hard Mask for Transferring Block Copolymer Lithography Patterns. Nanotechnology 2010, 21, 435301.

ACS Paragon Plus Environment

Page 19 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

24. Sinha, A.; Hess, D. W.; Henderson, C. L., Area Selective Atomic Layer Deposition of Titanium Dioxide: Effect of Precursor Chemistry. J. Vac. Sci. Technol. B, 2006, 24, 2523-2532. 25. Sinha, A.; Hess, D. W.; Henderson, C. L., Transport Behavior of Atomic Layer Deposition Precursors Through Polymer Masking Layers: Influence on Area Selective Atomic Layer Deposition. J. Vac. Sci. Technol. B 2007, 25, 1721-1728. 26. Kim, W. H.; Minaye Hashemi, F. S.; Mackus, A. J. M.; Singh, J.; Kim, Y.; Bobb-Semple, D.; Fan, Y.; Kaufman-Osborn, T.; Godet, L.; Bent, S. F., A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation. ACS Nano 2016, 10, 44514458. 27. Behpour, M.; Mohammadi, N., Investigation of Inhibition Properties of Aromatic Thiol Self-Assembled Monolayer for Corrosion Protection. Corros. Sci. 2012, 65, 331-339. 28. Feng, Y.; Teo, W. K.; Siow, K. S.; Gao, Z.; Tan, K. L.; Hsieh, A. K., Corrosion Protection of Copper by a Self Assembled Monolayer of Alkanethiol. J. Electrochem. Soc. 1997, 144, 55-64. 29. Hosseinpour, S.; Göthelid, M.; Leygraf, C.; Johnson, C. M., Self-Assembled Monolayers as Inhibitors for the Atmospheric Corrosion of Copper Induced by Formic Acid: A Comparison between Hexanethiol and Hexaneselenol. J. Electrochem. Soc. 2013, 161, C50-C56. 30. Hutt, D. A.; Liu, C., Oxidation Protection of Copper Surfaces Using Self-Assembled Monolayers of Octadecanethiol. Appl. Surf. Sci. 2005, 252, 400-411. 31. Jennings, G. K.; Laibinis, P. E., Self-assembled Monolayers of Alkanethiols on Copper Provide Corrosion Resistance in Aqueous Environments. Colloids Surf., A 1996, 116, 105-114. 32. Laibinis, P. E.; Whitesides, G. M., Self-Assembled Monolayers of n-Alkanethiolates on Copper Are Barrier Films That Protect The Metal Against Oxidation by air. J. Am. Chem. Soc. 1992, 114, 9022-9028. 33. Love, J. C.; Estroff, L. A.; Kriebel, J. K.; Nuzzo, R. G.; Whitesides, G. M., SelfAssembled Monolayers of Thiolates on Metals as a Form of Nanotechnology. Chem. Rev. 2005, 105 (4), 1103-1170. 34. Scherer, J.; Vogt, M. R.; Magnussen, O. M.; Behm, R. J., Corrosion of AlkanethiolCovered Cu(100) Surfaces in Hydrochloric Acid Solution Studied by in-Situ Scanning Tunneling Microscopy. Langmuir 1997, 13 (26), 7045-7051. 35. Schreiber, F., Structure and Growth of Self-Assembling Monolayers. Prog. Surf. Sci. 2000, 65, 151-257. 36. Schwartz, D. K., Mechanisms and Kinetics of Self-Assembled Monolayer Formation. Annu. Rev. Phys. Chem. 2001, 52, 107-137. 37. Ulman, A., Formation and Structure of Self-Assembled Monolayers. Chem. Rev. 1996, 96, 1533-1554. 38. Yamamoto, Y.; Nishihara, H.; Aramaki, K., Self Assembled Layers of Alkanethiols on Copper for Protection Against Corrosion. J. Electrochem. Soc. 1993, 140 (2), 436-443. 39. Prasittichai, C.; Pickrahn, K. L.; Minaye Hashemi, F. S.; Bergsman, D. S.; Bent, S. F., Improving Area-Selective Molecular Layer Deposition by Selective SAM Removal. ACS Appl. Mater. Interfaces 2014, 6, 17831-17836. 40. Seo, E. K.; Lee, J. W.; Sung-Suh, H. M.; Sung, M. M., Atomic Layer Deposition of Titanium Oxide on Self-Assembled-Monolayer-Coated Gold. Chem. Mater. 2004, 16, 18781883. 41. Chavez, K. L.; Hess, D. W., A Novel Method of Etching Copper Oxide Using Acetic Acid. J. Electrochem. Soc. 2001, 148, G640-G643.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

Page 20 of 33

42. Lee, M.-S.; Ahn, J.-G.; Ahn, J.-W., Recovery of Copper, Tin and Lead From the Spent Nitric Etching Solutions of Printed Circuit Board and Regeneration of the Etching Solution. Hydrometallurgy 2003, 70, 23-29. 43. Satta, A.; Shamiryan, D.; Baklanov, M. l. R.; Whelan, C. M.; Toan Le, Q.; Beyer, G. P.; Vantomme, A.; Maex, K., The Removal of Copper Oxides by Ethyl Alcohol Monitored In Situ by Spectroscopic Ellipsometry. J. Electrochem. Soc. 2003, 150, G300-G306. 44. Habbache, N.; Alane, N.; Djerad, S.; Tifouti, L., Leaching of Copper Oxide With Different Acid Solutions. Chem. Eng. J. 2009, 152, 503-508. 45. Özgür, Ü. Alivov, Y. I. Liu, C. Te e, A. eshchi ov, M. A. Doğan, . Avrutin, V. Cho, S.-J.; Morkoç, H., A Comprehensive Review of ZnO Materials and Devices. J. Appl. Phys. 2005, 98, 041301. 46. Mor, G. K.; Varghese, O. K.; Paulose, M.; Shankar, K.; Grimes, C. A., A Review on Highly Ordered, Vertically Oriented TiO2 Nanotube Arrays: Fabrication, Material Properties, and Solar Energy Applications. Sol. Energy Mater. Sol. Cells 2006, 90, 2011-2075. 47. Pelaez, M.; Nolan, N. T.; Pillai, S. C.; Seery, M. K.; Falaras, P.; Kontos, A. G.; Dunlop, P. S. M.; Hamilton, J. W. J.; Byrne, J. A.; O'Shea, K.; Entezari, M. H.; Dionysiou, D. D., A Review on the Visible Light Active Titanium Dioxide Photocatalysts for Environmental Applications. Appl. Catal., B 2012, 125, 331-349. 48. Hashemi, F. S. M.; Bent, S. F., Sequential Regeneration of Self-Assembled Monolayers for Highly Selective Atomic Layer Deposition. Adv. Mater. Interfaces, 2016, 1600464. doi:10.1002/admi.201600464. 49. Snyder, R. G.; Strauss, H. L.; Elliger, C. A., Carbon-hydrogen stretching modes and the structure of n-alkyl chains. 1. Long, disordered chains. J. Phys. Chem. 1982, 86, 5145-5150. 50. Porter, M. D.; Bright, T. B.; Allara, D. L.; Chidsey, C. E. D., Spontaneously Organized Molecular Assemblies. 4. Structural Characterization of n-Alkyl Thiol Monolayers on Gold by Optical Ellipsometry, Infrared Spectroscopy, and Electrochemistry. J. Am. Chem. Soc. 1987, 109, 3559-3568. 51. Dilimon, V. S.; Denayer, J.; Delhalle, J.; Mekhalif, Z., Electrochemical and Spectroscopic Study of the Self-Assembling Mechanism of Normal and Chelating Alkanethiols on Copper. Langmuir 2012, 28, 6857-6865. 52. Wang, Y.; Im, J.; Soares, J. W.; Steeves, D. M.; Whitten, J. E., Thiol Adsorption on and Reduction of Copper Oxide Particles and Surfaces. Langmuir 2016, 32, 3848-3857. 53. Keller, H.; Simak, P.; Schrepp, W.; Dembowski, J., Surface Chemistry of Thiols on Copper: An Efficient Way of Producing Multilayers. Thin Solid Films 1994, 244, 799-805. 54. Çakir, O. Study of Etch Rate and Surface Roughness in Chemical Etching of Stainless Steel, Key Eng. Mater. 2008, 364, 837-842. 55. Yasuda, K.; Saito, R. Effect of Surface Roughening of Aluminum Plates on the Strength of Bonds Formed Between Aluminum and Polyphenylene Sulfide by Thermosonic Bonding, IOP Conference Series: Materials Science and Engineering, IOP Publishing: 2014; p 012007. 56. Hinson, D., Roughening of Metal Surfaces. US Patent No. 5705082 A: 1998. 57. Ron, H.; Cohen, H.; Matlis, S.; Rappaport, M.; Rubinstein, I., Self-Assembled Monolayers on Oxidized Metals. 4. Superior n-Alkanethiol Monolayers on Copper. J. Phys. Chem. B 1998, 102, 9861-9869. 58. Sung, M. M.; Sung, K.; Kim, C. G.; Lee, S. S.; Kim, Y., Self-Assembled Monolayers of Alkanethiols on Oxidized Copper Surfaces. J. Phys. Chem. B 2000, 104, 2273-2277.

ACS Paragon Plus Environment

Page 21 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

59. Calderón, C. A.; Ojeda, C.; Macagno, V. A.; Paredes-Olivera, P.; Patrito, E. M., Interaction of Oxidized Copper Surfaces with Alkanethiols in Organic and Aqueous Solvents. The Mechanism of Cu2O Reduction. J. Phys. Chem. C 2010, 114, 3945-3957.

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

165x152mm (192 x 192 DPI)

ACS Paragon Plus Environment

Page 22 of 33

Page 23 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

145x138mm (192 x 192 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

156x143mm (192 x 192 DPI)

ACS Paragon Plus Environment

Page 24 of 33

Page 25 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

150x143mm (192 x 192 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

136x125mm (192 x 192 DPI)

ACS Paragon Plus Environment

Page 26 of 33

Page 27 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

137x128mm (192 x 192 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

320x75mm (192 x 192 DPI)

ACS Paragon Plus Environment

Page 28 of 33

Page 29 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

312x76mm (192 x 192 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

312x76mm (192 x 192 DPI)

ACS Paragon Plus Environment

Page 30 of 33

Page 31 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Figure 6a 135x124mm (300 x 300 DPI)

ACS Paragon Plus Environment

ACS Applied Materials & Interfaces

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

135x121mm (300 x 300 DPI)

ACS Paragon Plus Environment

Page 32 of 33

Page 33 of 33

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

ACS Applied Materials & Interfaces

Table Of Content 326x127mm (192 x 192 DPI)

ACS Paragon Plus Environment